quartus里用原理图的方法进行仿真时,为啥输出比输入延迟了一个时钟周期,有啥解决的办法吗?

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了quartus里用原理图的方法进行仿真时,为啥输出比输入延迟了一个时钟周期,有啥解决的办法吗?相关的知识,希望对你有一定的参考价值。

quartus里用原理图的方法进行仿真时,为什么输出比输入延迟了一个时钟周期,有什么解决的办法吗?用verilog代码写的一个扰码程序,直接进行仿真时,没有延迟,但是将代码生成原理图时就延迟了一个时钟周期,这是为什么?有什么解决方法吗?
做的是功能仿真,不是时序仿真。。。

参考技术A 可能是你的grid size设置的和你信号变化的最小单位不一样。如果不一样很可能会使信号传输时间超过格线的时间,导致仿真上的错误。 参考技术B 可能是quartus软件的设置问题,你可以往这个方向找找

以上是关于quartus里用原理图的方法进行仿真时,为啥输出比输入延迟了一个时钟周期,有啥解决的办法吗?的主要内容,如果未能解决你的问题,请参考以下文章

为啥Quartus II 电路图中有些引脚在仿真时不显示?

Quartus 波形仿真

怎样用quartus仿真输出模拟波形

如何在ModelSim中仿真Quartus的bdf文件和IP核

VHDL顶层原理图里输出信号仿真时出现Node missing source是啥意思?好像是输出信号里的定义有点问题

Quartus的仿真文件怎么进行引脚锁定时怎么退出