Quartus 波形仿真

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Quartus 波形仿真相关的知识,希望对你有一定的参考价值。

在用Quartus进行波形仿真时,有一个critical warning
Critical Warning: Found minimum pulse width or period violations. See Report Minimum Pulse Width for details.然后波形仿真report输出的没有任何结果,求解?谢谢啦
可是做的功能仿真都没有通过,输出结果全为高?

这个可能是你在编辑激励信号的时候,把一些信号的脉冲设得太小了,或者周期太小了。比如说你用一个8ns延时的芯片,如EP2C8Q208C8,而你的时钟设置为4ns,这样就不合理了。

建议检查一下你的波形文件的激励信号(输入信号)设置得对不对。
参考技术A 官方解答,我自己也尝试了。此方法可行
Hi,
Can you try to do this:
Open TimeQuest Timing Analyzer in Tool Menu.
In Contrainsts Menu, choose Generate SDC file from QSF
Add into *.SDC file these lines:

set_time_format -unit ns -decimal_places 3
create_clock -period 20 -name clk [get_ports clk]

set_clock_groups -exclusive -group clk

(clk is name of clock signal used in your design)

Open Setting project and add this *.sdc file into TimeQuest Timing Analyzer

Hope it works.

Quartus系列:Quartus II 功能仿真设置流程

1.新建一个波形文件

2.右键点击Name下空白框,在弹出的菜单中选择"Inert->Insert Node or Bus..."

如果已经知道端口名称和端口类型,直接在弹出的对话框中键入Name后,相应的信息会自动识别到对话框中,如下:

如果不确定端口名或存在多个输入,点击“Node Finder...”,选择“all”,点击“List”,即可显示出所有端口以及对应端口类型信息。

点击红色区的“>”、“》”、"《"、"<"即可实现单个或所有添加、删减,确定要进行波形操作的信号后,逐级点击OK按钮即可。

端口信号添加完毕后的窗口如下:

选择对应的信号,点击则可使用红色区域按钮对信号进行波形绘制。

此处以a1为例,对a1设置为周期性信号为例,点击下图中红色方框,即可弹出clock对话框,如下图所示。

其中"End time"为仿真时间,默认为"1us","time period"设置时钟特征,包括占空比和起始时刻,如果要修改仿真时间,可以点击"Edit->End Time..."进行设置,如下图所示:

设置完波形后,将对应的波形进行保存,如下图所示:

3.设置好各端口的信号波形之后,生成对应的netlist,点击确定进入下一步。

4.设置仿真类型为functional(功能仿真)

5.点击下图中的开始仿真按钮,开始进行仿真:


仿真结果如下:

更多资讯可扫描下方二维码!


 

以上是关于Quartus 波形仿真的主要内容,如果未能解决你的问题,请参考以下文章

怎样用quartus仿真输出模拟波形

win10更新之后quartus波形图仿真的时候无响应?更新前是好的。

quartus ii中 怎样将仿真出的波形的周期调试为1s?

Quartus17运行仿真RTL Simulation

Quartus17运行仿真RTL Simulation

Quartus17运行仿真RTL Simulation