如何在ModelSim中仿真Quartus的bdf文件和IP核

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了如何在ModelSim中仿真Quartus的bdf文件和IP核相关的知识,希望对你有一定的参考价值。

但是这里的Functional是基于门级网表的功能仿真,并不是HDL级的功能仿真。
首先需要将.bdf原理图文件转换为Verilog HDL等第三方EDA工具所支持的标准描述文件。在Quartus下,保持*.bdf为活动窗口状态,运行[File]/[Create/Update]/[Create HDL Design File for Current File]命令,在弹出窗口选择文件类型为Verilog HDL,即可输出*.v顶层文件。
Altera的基本宏功能的功能(行为)仿真模型在Quartus工具安装目录下的"eda\sim_lib"目录中:
Verilog HDL语言的仿真库文件为220model.v和altera_mf.v;
VHDL语言的仿真库文件为220pack.vhd、220model.vhd、altera_mf.vhd和altera_mf_components.vhd。
仿真时把相关库文件加到工程中去就行了。
参考技术A 但是这里的Functional是基于门级网表的功能仿真,并不是HDL级的功能仿真。首先需要将.bdf原理图文件转换为Verilog HDL等第三方EDA工具所支持的标准描述文件。在Quartus下,保持*.bdf为活动窗口状态,运行[File]/[Create/Update]/[Create HDL Design File for Current File]命令,在弹出窗口选择文件类型为Verilog HDL,即可输出*.v顶层文件。Altera的基本宏功能的功能(行为)仿真模型在Quartus工具安装目录下的"eda\sim_lib"目录中:Verilog HDL语言的仿真库文件为220model.v和altera_mf.v;VHDL语言的仿真库文件为220pack.vhd、220model.vhd、altera_mf.vhd和altera_mf_components.vhd。仿真时把相关库文件加到工程中去就行了。本回答被提问者采纳

Quartus17下使用Modelsim10进行仿真

  • 可以在新建工程Simulation中选择Modelsim

  • 编写完仿真文件后,Assignments点击Settings

  • 点击Simulation,之前创建工程下选择仿真工具Modelsim后这里直接选择Test Benches

  • 点击New

  • 可以先添加事先写好的tb文件(记得点Add然后文件出现在下面那个框里),再把tb名字复制进去,设置仿真时间1us后点OK

  • 点击OK

  • 点击Apply后再点OK(直接点击OK之前都白设置了)

  • 先点蓝色箭头编译一下,再进行仿真

以上是关于如何在ModelSim中仿真Quartus的bdf文件和IP核的主要内容,如果未能解决你的问题,请参考以下文章

Quartus系列:Quartus II 调用ModelSim仿真调试

.do文件进行Quartus调用modelSIM仿真设定

Quartus17下使用Modelsim10进行仿真

Quartus17下使用Modelsim10进行仿真

Quartus17下使用Modelsim10进行仿真

quartus调用modelsim仿真每次都要重新打开modelsim吗?联合仿真每次都要关闭modelsim再重新打开吗?