vcs编译verilog/sysverilog并执行

Posted yuandonghua

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了vcs编译verilog/sysverilog并执行相关的知识,希望对你有一定的参考价值。

命令:技术分享图片

sverilog:表示支持systemverilog,如果只编译verilog不需要加

test.sv  :这个可以是一个systemverilog/verilog文件,也可以是一个filelist

-full64:表示使用64位的机器。

 

verilog中可执行的单元是module;systemverilog中可执行的单元可以是module还可以是program.

技术分享图片技术分享图片

编译完后会在当前目录下生产一个simv的文件,直接执行simv就可以执行systemverilog/verilog代码了。

以上是关于vcs编译verilog/sysverilog并执行的主要内容,如果未能解决你的问题,请参考以下文章

vcs分布编译

在vcs中编译及运行测试E203例子

1.VCS仿真基础

vcs怎么编译产生随机激励的systemverilog语句

VCS常用仿真选项开关及步骤总结

VCS常用仿真选项开关及步骤总结