网络数据传输基于FPGA的百兆网/兆网千UDP数据包收发系统开发,PC到FPGA

Posted fpga和matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了网络数据传输基于FPGA的百兆网/兆网千UDP数据包收发系统开发,PC到FPGA相关的知识,希望对你有一定的参考价值。

1.软件版本

vivado2019.2

2.系统原理

先将电脑的IP地址设置为: 192.168.0.3。如下所示:

修改后,cmd中运行如下指令

 可以查看PC的IP和MAC

然后到windows>system32>cmd.exe,右击,以管理员进行运行,然后进行PC和FPGA的mac地址的绑定:

以上是关于网络数据传输基于FPGA的百兆网/兆网千UDP数据包收发系统开发,PC到FPGA的主要内容,如果未能解决你的问题,请参考以下文章

基于FPGA的百兆以太网RGMII接口设计(读书笔记)

我的服务器放在家里的,网安装的是电信10兆网,域名可以用DNSPoD映射解析吗?

FPGA教程案例66硬件开发板调试6——基于FPGA的UDP网口通信和数据传输

基于FPGA的UDP通信实现

fpga实现udp功能思路---发送

基于FPGA的以太网TCP/IP协议实现过程记录