在Quartus使用TCL脚本文件配制管脚 《本人亲测》

Posted prayer521

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了在Quartus使用TCL脚本文件配制管脚 《本人亲测》相关的知识,希望对你有一定的参考价值。

方法一:Import Assignments步骤1:

  使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例)。【这种方式格式最为简单】

注意:To和Location两个关键字中间有一个半角逗号。   技术分享

图1 pin.txt

步骤2:

  在QII软件中,选择“Assignments -> Import Assignments”。如图所示,导入xxx.txt或者xxx.csv文件即可  

技术分享

技术分享

图2 导入pin.txt

步骤3:

  在QII软件中,选择“Assignments -> Pin”标签(或者点击 按钮) ,打开Pin Planner,验证管脚是否分配正确。 

技术分享

图3 验证管脚是否分配正确

以上是关于在Quartus使用TCL脚本文件配制管脚 《本人亲测》的主要内容,如果未能解决你的问题,请参考以下文章

Quartus II管脚批量分配文件(.tcl)格式

Quartus ii脚本文件Tcl 怎样注释多行

(qsf文件 tcl文件 和 csv(txt)文件的区别) FPGA管脚分配文件保存导入导出方法

FPGA管脚分配文件保存方法

使用Tcl脚本分配FPGA管脚

Verilog通过文件去定义管脚?