Quartus II 13.0可以有中文注释吗

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Quartus II 13.0可以有中文注释吗相关的知识,希望对你有一定的参考价值。

参考技术A Quartus
Ⅱ有些版本是不支持中文输入的,比如:
a)
Quartus
II
8.0
以前的版本,可以输入中文也可以显示中文;
b)
Quartus
II
8.0
以后,Quartus
II
9.1
以前版本的版本可以显示中文,但是不能输入中文;
c)
Quartus
II
9.1
之后,Quartus
II
11
以前的版本不能输入中文,同时也不可以显示中文;
d)
Quartus
II
11.0
目前最新版本,可以显示中文字符,同时又能也能输入中文。
注意:由于ModelSim

Quartus系列:Quartus II 功能仿真设置流程

1.新建一个波形文件

2.右键点击Name下空白框,在弹出的菜单中选择"Inert->Insert Node or Bus..."

如果已经知道端口名称和端口类型,直接在弹出的对话框中键入Name后,相应的信息会自动识别到对话框中,如下:

如果不确定端口名或存在多个输入,点击“Node Finder...”,选择“all”,点击“List”,即可显示出所有端口以及对应端口类型信息。

点击红色区的“>”、“》”、"《"、"<"即可实现单个或所有添加、删减,确定要进行波形操作的信号后,逐级点击OK按钮即可。

端口信号添加完毕后的窗口如下:

选择对应的信号,点击则可使用红色区域按钮对信号进行波形绘制。

此处以a1为例,对a1设置为周期性信号为例,点击下图中红色方框,即可弹出clock对话框,如下图所示。

其中"End time"为仿真时间,默认为"1us","time period"设置时钟特征,包括占空比和起始时刻,如果要修改仿真时间,可以点击"Edit->End Time..."进行设置,如下图所示:

设置完波形后,将对应的波形进行保存,如下图所示:

3.设置好各端口的信号波形之后,生成对应的netlist,点击确定进入下一步。

4.设置仿真类型为functional(功能仿真)

5.点击下图中的开始仿真按钮,开始进行仿真:


仿真结果如下:

更多资讯可扫描下方二维码!


 

以上是关于Quartus II 13.0可以有中文注释吗的主要内容,如果未能解决你的问题,请参考以下文章

quartus ii 中的代码全选后,怎么整体快速缩进(排版,就是为了阅读的方便),有快捷键吗?

Quartus II 11.1 打开程序,中文注释都为乱码,我已经百度了很长时间了,解决不了,要具体的解决方法

Quaerus II13.0版本使用概述

Quartus ii脚本文件Tcl 怎样注释多行

quartus ii中case语句里能再嵌套case吗?

cpld的QuartusII中用中文注释该怎样设置?