verilog条件编译

Posted kingstacker

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了verilog条件编译相关的知识,希望对你有一定的参考价值。

前言

在设计流程中,可能有的模块是不使用的,但某时候可能需要使用。

不同代码段的选择就可以使用条件编译。

 技术图片

流程

使用`define和`ifdef `else `endif语句实现此功能。

`timescale 1ns/1ps
`define SIM_USE //定义SIM_USE,如果取消定义,注释此句即可
module xxx (
    input     i_clk       ,
    input     i_rst_n     ,
    output    xxx           
);

`ifdef SIM_USE
    xxxxxxxx //如果定义了SIM_USE,则会编译这段代码
`else 
    xxxxxxxx //如果没有定义SIM_USE,则编译这段代码
`endif 



endmodule // end the xxx model

以上。

以上是关于verilog条件编译的主要内容,如果未能解决你的问题,请参考以下文章

verilog 条件编译命令`ifdef`else`endif 的应用

verilog 条件编译命令`ifdef`else`endif 的应用

如何有条件地将 C 代码片段编译到我的 Perl 模块?

verilog中对同一个变量有判断条件的赋值

linux中的源代码编译

Verilog 算术和逻辑单元 (ALU) 编译错误