基于FPGA的HDB3数字编码器设计

Posted fpga&matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了基于FPGA的HDB3数字编码器设计相关的知识,希望对你有一定的参考价值。

1.问题描述:

      数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,为了使基带信号能适合在基带信道中传输,通常需要经过基带信号变换。概括了HDB3数字编码器的研究背景、意义,同时对FPGA技术和编码技术作了简要的说明。首先详细的介绍了各种编码技术,并对比了HDB3编码技术的优势,然后通过MATLAB对HDB3的编码译码进行算法的仿真,从而验证算法的可靠性。然后采用Verilog HDL硬件描述语言对系统进行设计与实现。其中HDB3码的编码程序设计是在QuartusⅡ软件环境下进行的。在QuartusII软件中完成HDB3码的编码、仿真等步骤后,通过下载电缆将程序下载到特定的FPGA芯片上,用示波器进行分析,验证该研究的可行性。

   HDB3码是AMI码的改进型,通常被称为三阶高密度双极性码。 HDB3码的编码规则第一步:检查消息代码的连0串,当没有4个或4个以上连零串的时候,按AMI码的编

码规则对消息代码进行编码;AMI编码规则如下所示:

    原码:   1 0 0 0 0  1 0 0 0 0  1  1 0 0 0 0   1  1

以上是关于基于FPGA的HDB3数字编码器设计的主要内容,如果未能解决你的问题,请参考以下文章

基于FPGA的HDB3编译码verilog实现,结合MATLAB进行辅助验证

mpeg2基于FPGA的mpeg2解码器设计

基于FPGA的分形编码器verilog设计——详细版

编码译码基于matlab HDB3编译码仿真含Matlab源码 1961期

基于FPGA的分形编码器verilog设计

FPGA+JPEG基于FPGA的JPEG图像编码系统verilog设计