FPGA+JPEG基于FPGA的JPEG图像编码系统verilog设计

Posted fpga&matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了FPGA+JPEG基于FPGA的JPEG图像编码系统verilog设计相关的知识,希望对你有一定的参考价值。

1.软件版本

MATLAB2013b,ise14.7

2.本算法理论知识

·基于FPGA的图像编码系统和算法实现                             

根据JPEG标准,采用自顶向下的设计方法,首先把JPEG编码系统分成几个子模块,通过verilog语言编写JPEG编码系统各个模块,这些子模块级联起来,共同完成JPEG编码系统。主要模块包含2D-DCT变换、量化、熵编码、码流组装几个模块。

·JPEG快速算法的实现

针对2D-DCT变换模块进行,采用两个1D-DCT单元流水线完成,中间的转置存储器采用双口RAM结构,进行乒乓操作,占用更多资源来提高操作速度。

·JPEG算法改进

修改DCT模块,在资源和速度上进一步优化算法。针对ZigZag扫描进行降低计算量等方面的优化。

·根据JPEG标准协议要求对应设计编码系统,并将分模块化,针对每一个模块进行功能仿真验证,保证各模块可实现的功能。在此基础上,针对模块算法进行改进和优化提高速度并减少所耗费的资源。

以上是关于FPGA+JPEG基于FPGA的JPEG图像编码系统verilog设计的主要内容,如果未能解决你的问题,请参考以下文章

图像压缩基于matlab余弦变换及霍夫曼编码jpeg压缩和解压含Matlab源码 2086期

基于FPGA的分形编码器verilog设计

JPEG基本系统

基于DCT的JPEG编码计算讲解

基于DCT+huffman变换的图像压缩解压缩FPGA实现

Matlab/CV系列一种显微图像JPEG和JPEG2000编解码系统