modelsim中如何改变仿真波形中信号的显示格式

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了modelsim中如何改变仿真波形中信号的显示格式相关的知识,希望对你有一定的参考价值。

仿真信号都是显示的16进制,我想看2进制的,这样方便些。怎样一次性全部修改,鼠标一个个的双击改太麻烦了。另外我把modelsiml.ini中改成symbolic也没用

参考技术A new file选 那个 vector wave啥的.然后右键insert》node》node find》list但是可以使用第三方软件进行仿真。比如:ModelSim或者 ModelSim-Altera等 仿真
最后修改: 2012 年9 月11 日
产品类别: 设计软件
产品领域: 仿真/一致性验证
产品子领域: ModelSim-Altera(仿真/一致性验证)
标题说明VCD文件是一个IEEE 1364-1995标准文件,包含所有调试仿真结果所需要的仿真波形信息。它包含设计中的所有信号,当需要在波形窗口中加入信号时,你不需要重新运行仿真。为了生成一个.vcd文件:1) 在transcript窗口中成功编译和加载设计2) 指定VCD文件名称 - 语法: vcd file <file_name>.vcd3) 使能VCD文件存储需要的节点信号- 语法: vcd add <path_to_instance>/*注意: 这个命令不会存储子节点信号 使能加密节点的VCD会产生告警4) 运行仿真,产生VCD数据库5) 退出仿真- 语法: quit –sim 为了在Modelsim软件的波形窗口中显示.vcd文件中的信号: 1) 在Modelsim中将VCD格式转换为WLF格式- 语法: vcd2wlf <file1.vcd> <file2.wlf>注意: 如果转换失败,很可能是由于不存在的节点路径导致的。请确认在前面第3步中指定的所需节点路径是正确的。 2) 退出当前的Modelsim环境 (Modelsim生成正确VCD文件的要求)3) 打开第1步生成的WLF文件- File菜单 -> Open -> file2.wlf4) 在Object窗口中选择调试所需信号,并把它们添加到波形窗口中去 反馈 此页内容满足用户需要:完全不同意 完全同意 12345 此页很容易被找到: 完全不同意 完全同意 12345 如您有对改善支持解决方案的其他建议,请填于下: Altera并不保证此解决方案能够达到客户的预期目的,并不承担所有的解决方案的使用和信赖的责任。

modelsim常用操作之波形仿真

modelsim波形仿真的新手问题

1、实验目的

在刚接触modelsim时,被其繁复的操作流程所困,一度只能依靠在quartus中修改代码编译后再重启modelsim,自动导入才能得到波形。这样的操作最大的问题就是修改代码的成本巨大。每次更新波形的时间在5分钟左右。为此,通过不断地学习,终于将波形仿真的各个事项了解清楚。这里做一下记录,方便以后回顾。

2、实验操作

①纯modelsim的verilog仿真

一般来说,前仿真是不需要器件的。如果使用了IP核就另论。这里先通过纯modelsim仿真了解modelsim的工作目录的结构,为后面的联合仿真的刷新提供基础。

这里直接使用图片集描述:

技术图片

这个操作感觉意义不大,在新建工程中也也可以创建library。

技术图片

这个可以添加project和library,构建设计平台。

然后进入project窗口,这个窗口就是用于编辑工程的,具体操作在前面的一篇关于modelsim的操作中有,这里不具体说明。

技术图片

添加本地文件和编译都在右击中。接下来转到library界面,就在上图的左下角的library中。

技术图片

进入仿真阶段。这是后会自动出现sim界面。(还是在左下角可以看到)

这里是没有波形的,需要手动添加观测信号。

技术图片

这里的wave是暗的,为什么?可以看到sim的亮线指在sim_capacity上,而非mux2_tb上,导致没有可以选择的观察信号。同理,如果指向其他的地方,被选择的观察信号可能是别的模块,比如被测模块。如果两个模块有同名变量且没有连接,那就可能出现多个同名不同值的波形。(这里值得注意,但凡是右击,都要确定光标所在,否则容易出错)

正确地添加信号后会出现wave(有些是浮动的,有些是嵌入的)

技术图片

有信号名,但是没有波形。这里出现的问题是没有运行。光开显示器不开主机,有啥子用。运行的快捷键在运行时间的右侧,三种方式。第一个是正常运行,第二种是继续(一般有stop系统函数的时候使用较多,第三个一直运行。运行时间的位置比较明显,就是一堆快捷键中的数字输入框。

技术图片

这是初始的波形,显然看着不爽。调整波形的常用按键:

技术图片

基本上掌握这三个键基本的功能就可以使用了。放大缩小也重要,但是一看就知,这里就不说明了。

基于软件的顺序逻辑,如果想要修改Verilog源文件,就要关闭工程,修改文件,保存文件、保存文件、保存文件,然后再编译。重要的事情说三遍。modelsim的编译是不会提醒文件是否保存的。一定要自己保存,否则,你得到的一直是修改前的文件编译结果。

②基于联合仿真的波形修改

 通过几次实验,发现使用重新启动编译的话,如果使用软件操作的话还不如直接重启后在启动联合仿真。比较合理的方法是使用现成的脚本,也就是联合仿真时的.do文件。在控制台再执行一次即可。

3、实验结果

基本了解了modelsim的波形仿真的基本操作。但是对于联合仿真的修改还是比较费时间,毕竟要编译库。不想记住的也可以重启,反正差别不大。联合仿真中会生成可执行工程文件,这个相当于一个快捷方式。这里说明一下。

以上是关于modelsim中如何改变仿真波形中信号的显示格式的主要内容,如果未能解决你的问题,请参考以下文章

Quartus 波形仿真

为啥用modelsim6.5进行FPGA的时序仿真,会出现如下情况

vivado microblaze 怎么查看波形

modelsim常用操作之波形仿真

modelsim常用操作之波形仿真

使用debussy完成自动仿真