quartusii 怎么给信号分配管脚

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了quartusii 怎么给信号分配管脚相关的知识,希望对你有一定的参考价值。

参考技术A 在pin planner里面的管脚图里全选,然后删除,删除后就可以重新分配;
quartus中分配管脚的三种方法 方法一、Import Assignments ; 方法二、source xxx.tcl ; 方法三、直接在pin planner中指定引脚;本回答被提问者采纳

vivado中的PACKAGE_PIN与BOARD_PIN

vivado中的PACKAGE_PIN与BOARD_PIN

在阅读xilinx设计示例时,常常在部分IP约束中看到采用BOARD_PIN的形式分配管脚,该方法与常见的PACKAGE_PIN分配管脚区别如下。

针对新建工程时通过选择评估板来确定芯片的工程,例如采用KCU105,VCU118等评估板的特定工程,vivado开发工具内置了对应管脚的名称,可以通过BOARD_PIN的方式来约束管脚,且通过该方式可以省略对管脚电平标准的约束。

需要注意的是,该类约束方法只针对特定的评估板,且需要在对应的原理图中找到相应的特定名称,容易出错,若能够确定管脚位置,还是推荐使用PACKAGE_PIN的形式。

例子:

set_property PACKAGE_PIN AP8     [get_ports led[0]]
set_property IOSTANDARD LVCMOS18 [get_ports led[0]]

set_property BOARD_PIN CPU_RESET [get_ports rst]

参考链接:Xilinx社区关于BOARD_PIN的解释

以上是关于quartusii 怎么给信号分配管脚的主要内容,如果未能解决你的问题,请参考以下文章

Xilinx Vivado器件分配管脚:LVDS差分电平信号如何分配管脚?

FPGA在分配管脚的时候,inout 型的两个信号A和B,他们要直接连在一起,在程序中怎么写?

使用tcl文件分配管脚

vivado中的PACKAGE_PIN与BOARD_PIN

vivado中的PACKAGE_PIN与BOARD_PIN

在Quartus中如何使用TCL脚本文件配制管脚