modelsim 进行仿真看波形的时候,object窗口不见了,怎么找出来

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了modelsim 进行仿真看波形的时候,object窗口不见了,怎么找出来相关的知识,希望对你有一定的参考价值。

如图所示,左边的objects窗口被我关掉了,怎样才能重新找出来啊。

工具栏第二行(有保存、打印、新建的那一行)找到亮着的那个show
wave。
点一下会出现
缩小版的objects窗口和wave窗口,在objects窗口左上角有view
objects
windows的小图标,点一下就ok
参考技术A 点击工具栏中的“simulate”按钮,调出start smulation窗口,把窗口中最下边optimization栏中的Enable optimization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。

  Quatus ii联合modelsim仿真无法产生波形或波形一直为Hiz状态原因分析

  最近用用modelsim仿真Quatus写的testbench,遇到了波形无法产生的问题,一直卡在这里很久都没找到原因,经过一番分析和各种乱试,终于找到原因了。

  在设置编译的test bench的时候,一定要把顶层模块设置为xx_vlg_tst(),就是启动test bench template writer的时候,软件给你自动产生的那个test bench的模块名。因为在仿真的时候,这个模块才是真正的顶层模块,是它实例化测试模块,并给与测试模块激励信号的。设置方法如下:

  点击主界面菜单栏的Assignment——》Settings,出现Settings对话框,然后在Category栏选择EDA Tool Settings——》Simulation,出现以下界面,

 

  点击3右边的Test Benches按键,出现Test Benches对话框,然后点击该对话框右边的New按键,出现了Edit Test Bench Settings对话框,如下,

 

  在Test Bench name一栏中,输入test bench文件的名字,就是在simulation/modelsim文件夹中那个后缀为.vt的文件的名称,而Top level module in test bench一栏则要输入test bench文件中那个模块的名称,这个是自动产生的,名字都是xx_vlg_tst,xx为test bench文件的名称,记住,这里一定要设置好顶层模块是xx_vlg_tst,因为默认情况下它是和test bench name是一样的,如果这样设置,是无法产生波形的。

  之前一直用ise联合modelsim仿真,ise里面就没有这些繁琐的步骤,在写test bench的时候,软件自动把test bench设置为了顶层模块,如图:

  

  仿真的时候只需要点击vtf_xx.v文件,然后双击Simulate Behavioral Model就会调用modelsim,仿真test bench文件了。
  modelsim仿真波形窗口小技巧

  在编写verilog模块后,很重要的一部分就是对所编写的模块进行仿真。而在仿真过程中,很重要的一部分就是观察波形。这里所谈的技巧其实是对于我个人而言,可能大部分人都已经知道。但还是记录下来,算是对我自己的提醒吧。

  现在想想还是觉得好笑,以前每次打开波形窗口的时候,都是点击下图的Simulate Behavioral Model.每次修改了代码,都是关掉原来的波形窗口,然后再重新点击打开,麻烦程度不言而喻

以上是关于modelsim 进行仿真看波形的时候,object窗口不见了,怎么找出来的主要内容,如果未能解决你的问题,请参考以下文章

为啥用modelsim6.5进行FPGA的时序仿真,会出现如下情况

基于FPGA的DDS波形发生器可以用modelsim进行功能仿真吗?

modelsim中如何改变仿真波形中信号的显示格式

modelsim怎么仿真

关于modelsim 波形 显示数值的

modelsim有啥优点?为啥要用它仿真?