Xilinx ISE错误 “MapLib:30 - LOC constraint......”

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Xilinx ISE错误 “MapLib:30 - LOC constraint......”相关的知识,希望对你有一定的参考价值。

Using target part "2vp30fg676-7".
Mapping design into LUTs...
ERROR:MapLib:30 - LOC constraint AJ15 on clk is invalid: No such site on the
device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AG15 on jtag_tck is invalid: No such site on
the device. To bypass this error set the environment variable
'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AG16 on jtag_tdo is invalid: No such site on
the device. To bypass this error set the environment variable
'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AJ16 on jtag_tms is invalid: No such site on
the device. To bypass this error set the environment variable
'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AC4 on oLED<0> is invalid: No such site on the
device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AH5 on rstn is invalid: No such site on the
device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AJ8 on uart_srx is invalid: No such site on the
device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.
ERROR:MapLib:30 - LOC constraint AE7 on uart_stx is invalid: No such site on the
device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

Error found in mapping process, exiting...
Errors found during the mapping phase. Please see map report file for more
details. Output files will not be written.

Design Summary
--------------
Number of errors : 8
Number of warnings : 2

Process "Map" failed
??

UCF文件中的管脚映射和实际中你在建工程所设定的FPGA板子型号中的管脚存在出入,比如,我昨天的工程中无意间选用了Zynq XC7Z100 封装类型是FFG900,就会报这种错误,后面经层主点拨,在ISE的“Project”菜单栏下选“Dedign Properties...”中修改板子及封装类型为Zynq XC7Z020 CLG484,问题解决。 参考技术A FPGA芯片型号没有选对,或者是UCF文件引脚定义没有对,很可能是芯片型号的问题,你好好检查一下吧。 参考技术B 重新安装ise本回答被提问者采纳

Xilinx_ISE 14.7 Win10 闪退

打开D:Xilinx14.7ISE_DSISElib t64
将libPortabilityNOSH.dll 重命名为libPortability.dll,替换原libPortability.dll
并且复制到D:Xilinx14.7ISE_DScommonlib t64下替换原libPortability.dll

https://blog.csdn.net/idevede/article/details/56024153

以上是关于Xilinx ISE错误 “MapLib:30 - LOC constraint......”的主要内容,如果未能解决你的问题,请参考以下文章

VHDL:有错误编码的除法,但在 Quartus II 上编译时有错误,但在 Xilinx ISE 上没有

(转)modelsim10.0C编译ISE14.7的xilinx库(xilinx ip核)

xilinx ISE_14.7 for win 8/10

xilinx ISE 不能综合是怎么回是?

Xilinx_ISE 14.7 Win10 闪退

Windows 10下Xilinx ISE需要注意的事项。