xilinx ISE 不能综合是怎么回是?

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了xilinx ISE 不能综合是怎么回是?相关的知识,希望对你有一定的参考价值。

定义了一个8倍抽取的模块,但在综合时出现这样的问题:
"D:/Program Files/ISE projects/DDC_4/DDC_4.vhd" line 412: No default binding for component: <extract_8>. Port <dout> does not match.

ISE安装路径不要有中文名字或者是空格,系统的主题要使用widows原版,建立的工程路径也不要有中文或者空格 参考技术A 在DDC_4 中的 extract_8 的port map 和 extract_8 不一样,
仔细检查一下

以上是关于xilinx ISE 不能综合是怎么回是?的主要内容,如果未能解决你的问题,请参考以下文章

ise13不能综合

Xilinx ISE的时序约束

安装xilinx ise后,会出现多个应用程序比如vivado,vivado HLS, xilin

基于Xilinx的Synthesize

如何用ModelSim对Xilinx ISE产生的网表进行仿真

ise调用synplify pro时,xilinx的ucf文件起作用吗