怎样调用altera中的ip核

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了怎样调用altera中的ip核相关的知识,希望对你有一定的参考价值。

参考技术A 如果是QII的IP核,在QII软件中通过Megacore
Wizard加入,就可以了。
如果是第三方的IP核,你应该有他的全部代码。把所有代码都加入到你的工程中,在需要的位置,例化顶层文件,连接好所有的接口,就行。
我想,如果你需要使用这个IP,那么你对这

altera DDR2 ip使用笔记之IP核生成

IP核生成
Quartus生成DDR2 ip流程如下:
点击菜单栏的Tools->MegaWizard Plug-In Manager,弹出
 选择IP类型,保持路径即文件名等,如下图
 点击next,按下图设置:输入时钟50Mhz,DDR驱动时钟150Mhz,Full rate模式,位宽32Bit,器件选择MT47H64M16,与所使用的FPGA开发板一致。
 点next,选择默认即可
 依旧默认
 设置MaxBurstCount为64,
 选择产生仿真模型
 最后点击finish完成
 等待gereration
 完成
 以下是log信息
Info: Generating the Example Design.
Info: Generating the Pin Planner file.
Info: Generating the Synopsys Design Constraints file for the example top level.
Info: Generating the Synopsys Design Constraints file.
Info: Generating the Timing Report script.
Info: Generating the ALTPLL Megafunction instance.
Info: Generating the ALTMEMPHY Megafunction instance.
Info: Generating the Functional Simulation Model for ALTMEMPHY
Info: Before compiling your variation in Quartus II, you should follow these steps:
Info: - Enable TimeQuest under Settings, Timing Analysis Settings.
Info: - Add the alt_ddr2_ip_phy_ddr_timing.sdc file to your Quartus II project.
Info: - Add I/O Standard assignments by running the alt_ddr2_ip_pin_assignments.tcl script.
Info: - Set the Default I/O standard to match the memory interface I/O standard setting.
Info: - Turn on Optimize multi-corner timing in the Quartus II Fitter Settings.
Info: - Please make sure that address/command pins are placed on the same edge as the CK/CK# pins.
Info: - Set the top level entity of the project to alt_ddr2_ip_example_top.
Info: See the User Guide for more details.

以上是关于怎样调用altera中的ip核的主要内容,如果未能解决你的问题,请参考以下文章

调用altera IP核的仿真流程—上

Quartus II 与ModelSim-Altera联合仿真FFT IP核之FFT IP调用与例程数据验证-lab1

如何能将自己的verilog模块封装成像altera的IP核一样的呢?

英特尔至强融核中的排列

altera rom ram IP的图示

关于ALTERA生成IP核卡住