Quartus17报错Top-level design entity “dff“ is undefined的解决办法

Posted 只是有点小怂

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Quartus17报错Top-level design entity “dff“ is undefined的解决办法相关的知识,希望对你有一定的参考价值。

  • 报错原因没写但可以根据上一条警告可以知道,和Quartus中dff模块(primitive)冲突了
  • 解决办法:修改顶层文件名字,并设置为顶层文件,再重新编译
  • 同步复位D触发器的RTL视图
module dff_syn
(
	input wire sys_clk,
	input wire sys_rst_n,
	input wire key_in,
	
	output reg led_out
);

always@(posedge sys_clk)
	if(sys_rst_n == 1'b0)
		led_out <= 1'b0;
	else
		led_out <= key_in;
		
endmodule

以上是关于Quartus17报错Top-level design entity “dff“ is undefined的解决办法的主要内容,如果未能解决你的问题,请参考以下文章

Quartus17报错Top-level design entity “dff“ is undefined的解决办法

Quartus报错Error (170040): Can‘t place all RAM cells in design Info (170034)的解决办法

Quartus报错Error (170040): Can‘t place all RAM cells in design Info (170034)的解决办法

Quartus报错Error (170040): Can‘t place all RAM cells in design Info (170034)的解决办法

Quartus II 6.0的报错!

Quartus17打开RTL视图