Modelsim设置数据以模拟波形显示

Posted 小梅哥

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Modelsim设置数据以模拟波形显示相关的知识,希望对你有一定的参考价值。

选中希望以模拟波形显示的信号,右击选择format—>Analog(automatic)

 

 

如果你的数据是用无符号数表示一个完整的波形的,那么可能显示出来的波形样子是下面的样子,不过不要紧,这是因为modelsim默认是按照有符号数据解析波形数据的缘故,我们可以再进行一次设置修改。

 

 

选中希望显示波形的信号,右击,选择Radix—>Unsigned。

 

设置完成后,波形就如下所示了:

 

 

 

    是不是发现虽然波形已经是完整的正弦波了,但是波形有部分却跑到其他信号的地盘去了呢?这也不要紧,是模拟波形的显示范围设置导致的,其实这个设置也不是我们自己的原因。我们还是先看下如何修改为正确的显示,然后再来讲讲为啥会这样。

    第一种方案最简单,再次对选中信号右击,选择format—>Analog(automatic),即重新自动以模拟波形显示,波形就能正常了。

    但是之前的显示问题究竟是哪里错了呢?我们也可以通过手动设置的方式,来深究其中的原因。

    第二种方案:对选中信号右击,选择format—>Analog(Custom),在弹出的窗口中可以看到,波形显示范围为-512到511,这是因为我们的数据是10位位宽的,当选择显示模拟波形时,会自动以有符号数解析,范围就是-512到511,而当我们将数据修改为Unsigned之后,这个波形范围没有自动变过来,需要我们重新选择format—>Analog(automatic)一次,或者直接手动修改这个范围,当以无符号数解析时,10位数表示的范围为0~1023,因此手动修改Min为0,Max为1023,然后点击OK即可。

 

 

 

 

 

补充:如果用户希望将波形恢复到原始的数据显示状态(仿真运行后的默认状态),只需要在format中选择Literal,Radix中选择Use Global Radix即可。

以上是关于Modelsim设置数据以模拟波形显示的主要内容,如果未能解决你的问题,请参考以下文章

modelsim中如何改变仿真波形中信号的显示格式

modelsim怎么仿真

vivodo仿真文件出现在nonmodule files仿真波形全是红色和蓝色线,怎么办?

基于FPGA的DDS波形发生器可以用modelsim进行功能仿真吗?

modelsim常用操作之波形仿真

modelsim常用操作之波形仿真