求Verilog语言编写的循环彩灯控制器

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了求Verilog语言编写的循环彩灯控制器相关的知识,希望对你有一定的参考价值。

参考技术A //
test10.v
module
light1(clock,key,style,light);
input
clock,key,style
;
//端口定义
output
[2:0]light;
reg
[2:0]
light
=3'b111
;
reg
clk
=
1;
parameter
cnt
=
24000000;
reg
[24:0]count;
always
@
(posedge
clock)
//分频计数
begin
if
(count
<
cnt)
count
=
count
+
25'b1;
else
begin
count
=
0;
clk
=
~
clk;
end
end
always
@
(posedge
clk)
//LED灯输出
begin
if
(!key)
//key键被按下
light
=
light;
//暂停
else
begin
if
(!style)
//style被按下
begin
if
(light==0)
light=3'b111;
//LED灯全部点亮时,重新熄灭再准备下次循环
else
light
=light>>1;
//LED灯右移
end
else
begin
if
(light
==3'b000)
//LED灯全部点亮时,重新熄灭再准备下次循环
light
=3'b111;
else
light
=
light<<1;
//LED灯左移
end
end
end
endmodule
这个程序我已经在Actel板上烧过了,没问题。如果还有什么问题应该是你的板不同或者工具不同,我是在libero_8.5上做的

verilog HDL 实现十六路彩灯的控制 谢谢大神

此十六路彩灯控制系统设定有四种花样变化,这四种花样可以进行自动切换,且每种花样可以选择不同的频率,四种花样分别为:

(1)彩灯从右到左,然后从左到右逐次产闪烁。
(2)彩灯从右到左点亮,然后从左到右逐次依次熄灭,全亮全灭。
(3)彩灯两边同时亮2个逐次向中间移动再散开。
(4)彩灯两边同时亮4个,4亮4灭。

参考技术A 搞定没有 这个不是太难吧

以上是关于求Verilog语言编写的循环彩灯控制器的主要内容,如果未能解决你的问题,请参考以下文章

用电路仿真软件multisim设计一个彩灯控制器

基于单片机的彩灯控制器系统设计-多模式彩灯-毕设课设资料

求verilog语言分别编写一个8位的ram和rom存储器

MYSQL 8 基本操作之10 (实现for循环逐个遍历)

脚本推荐-verilog自动对齐

Verilog的循环语句