vivado为啥要结合modelsim

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了vivado为啥要结合modelsim相关的知识,希望对你有一定的参考价值。

参考技术A 最新的那个官网就是modelsim

为啥modelsim10.2里有UVM的库,但HELLO

参考技术A 1.安装modelsim se 10.1a
2.下载uvm_1.1d uvm-1.1d.tar.gz (3.07 MB) 。然后解压缩后,拷贝到modelsim安装目录的../verilog_src/目录下,我的目录是C:\software\modeltech_10.1a\verilog_src.这里注意,拷贝过来之后,打开uvm_1.1d文件夹,应该能直接看到bin/docs/examples/src/等文件夹和几个txt文件。
3.配置系统环境变量。打开modelsim,在vsim>命令行下输入
vsim n>set UVM_HOME c:/software/modeltech_10.1a/verilog_src/uvm-1.1d
vsim n>set MODEL_TECH c:/software/modeltech_10.1a/win32
(也可以设置为系统环境变量)
4.编译uvm_1.1d库文件。所谓编译库文件,我的理解是将uvm_1.1d编译成windows中modelsim可以加载的dll文件,因此这一个步骤是生成一个.dll文件的过程。这里需要GCC的编译命令,最新的是(modelsim-gcc-4.2.1-mingw32vc9)
modelsim-gcc-4.2.1-mingw32vc9.part01.rar (14 MB)
modelsim-gcc-4.2.1-mingw32vc9.part02.rar (5.65 MB)
解压缩后,复制到modelsim安装目录下,我的复制目录是(C:\software\modeltech_10.1a\gcc-4.2.1-mingw32vc9),打开该文件后能够直接看到bin/doc/include/info/lib/libexec/man/share文件夹,上面这些基本工作做完后,就可以编译.dll文件了。
5.在vsim命令行下输入
vsim n>c:/software/modeltech_10.1a/gcc-4.2.1-mingw32vc9/bin/g++.exe -DQUESTA -W -shared -Bsymbolic -I $MODEL_TECH/../include $UVM_HOME/src/dpi/uvm_dpi.cc -o $UVM_HOME/lib/uvm_dpi.dll $MODEL_TECH/mtipli.dll -lregex
注意,要先在$UVM_HOME目录下创建lib文件夹。
6.下载hello_world.sv hello_world.rar (231 Bytes)
创建modelsim工程,添加hello_world.sv文件。假设工程目录为 C:/modelsim_uvm/
7.编译Hello_world_example源文件
vsim n>vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF C:/modelsim_uvm/hello_world.sv
8.仿真Hello_world_example(需要调用刚刚编译的uvm_dpi.dll)
vsim n>vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi work.hello_world_example
选run 100ns

以上是关于vivado为啥要结合modelsim的主要内容,如果未能解决你的问题,请参考以下文章

为啥我在vivado生成不了 ddr3 ip核

为啥我在vivado生成不了 ddr3 ip核

vivado为啥ila信号被拆分

为啥我的RTL分析一打开vivado就会闪退

vivado为啥产生不了ltx格式的文件?

ubuntu下Vivado launch SDK后,SDK无菜单栏解决办法