Chisel3 - 复合数据类型

Posted 技术之一

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Chisel3 - 复合数据类型相关的知识,希望对你有一定的参考价值。

https://mp.weixin.qq.com/s/rXYqiZKuBpAYL8R94zxgRA

 
Chisel允许用户根据需要,把基本数据类型组合成为复合数据类型使用。如C语言里面的结构体,这样可以极大的简化Verilog中输入输出接口的声明和使用。
 
复合数据类型相关的类如下:
?技术分享图片?
其中:
1. 实线箭头为继承父类(extends);
2. 虚线箭头为实现接口(with);
3. 倾斜字体的类为抽象类(abstract);
4. 标注为叶子(leaf)的为最终类(final);
 
 
1. Aggregate
 
An abstract class for data types that solely consist of (are an aggregate of) other Data objects.
所有复合数据类型的父类。
?技术分享图片?
 
2. VecLike
 
A trait for Vecs containing common hardware generators for collection operations.
?技术分享图片?
 
3. Vec
 
用于创建一个数据类型为T的数组,T为Data的子类。
?技术分享图片?
 
4. Record
 
用于存放组成复合类型的基本数据类型变量的<名称,类型>键值对。实际使用中用不到。
?技术分享图片?
 
5. Bundle
 
Base class for data types defined as a bundle of other data types.
抽象类,作为复合数据类型的基类,用于自定义复合数据类型(匿名类或命名类)。
?技术分享图片?
 
如:
class MyModule extends Module {
  val io = IO(new Bundle {
    val in = Input(UInt(64.W))
    val out = Output(SInt(128.W))
  })
}
 
再如:
class MyFloat extends Bundle {
val sign = Bool()
val exponent = UInt(8.W)
val significand = UInt(23.W)
}
val x = new MyFloat()
val xs = x.sign

 


 
 

以上是关于Chisel3 - 复合数据类型的主要内容,如果未能解决你的问题,请参考以下文章

Chisel3 - bind - Binding

Chisel3 - 字面量(literal)

Chisel3 - bind - Wire, Reg, MemPort

Chisel3 - 运算符和位宽推断

复合(或引用)数据类型图解:及例题代码

Chisel3-创建工程并转换为Verilog代码