verilog模块中需要调用memory型变量该怎么弄???急...

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了verilog模块中需要调用memory型变量该怎么弄???急...相关的知识,希望对你有一定的参考价值。

因为程序中有三个源分别需要存储数据,想写一个模块,调用模块时就可以存在不同RAM中了。定义的memory变量:wire[7:0] ram1[242:0];
但是在模块中量必须被定义成input,ouput,inout。。到底要怎么引用到这种变量呢???

参考技术A 既然是memory那肯定就是reg了,你定义成wire肯定存储不了啊,呵呵
reg [7:0] ram1[242:0];
这样就可以了。
参考技术B 以下为memory model
module memory(
clk,
cen,
wen,
din,
dout,
a
);
input clk,cen,wen;
input [7:0]din;
input [242:0]a;
output [7:0]dout;

reg [7:0]din_r [242:0];
endmodule

以上是关于verilog模块中需要调用memory型变量该怎么弄???急...的主要内容,如果未能解决你的问题,请参考以下文章

请教Verilog 中memory 型数据的问题

verilog 定义memory类型变量 reg[16:1] a[7:0], 赋值时候可不可以这样:a[5][16:9]=8'b11111111;

verilog中的reg型变量,wire型变量初值是多少

verilog仿真时,部分reg变量消失

用Verilog写的ROM存储器里面有memory类型变量在Modelsim里面仿真时看不见是怎么回事?求高手指点。谢谢

求高手指教verilog中reg型和wire型在模块引用中的用法?