[转]VHDL中数据类型转换与移位(STD_LOGIC_ARITH与NUMERIC_STD)

Posted tubujia

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了[转]VHDL中数据类型转换与移位(STD_LOGIC_ARITH与NUMERIC_STD)相关的知识,希望对你有一定的参考价值。

1. VHDL目前常用库文件

目前写VHDL程序时,大部分人已经熟悉的库调用如下所示:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all; --或者 use ieee.std_logic_signed.all;

这几个库文件的源码可以在IEEE库文件链接中查看,首先阐述一下这些文件的内容和主要作用: 
- std_logic_1164 : 声明了std_Ulogic类型及其决断子类型std_logic,也声明了这种类型构成的数组std_logic_vector,还有这些类型的逻辑运算符函数。如果你需要使用std_logic类型,并只做逻辑类运算的话。就只需要声明 LIBRARY IEEE和 USE std_logic_1164.ALL就可以了。 
- std_logic_arith : 声明了signed和unsigned两种数据类型。这两种数据类型与std_logic_vector很相似,在后面详细解释。该库函数只对 integer、signed、unsigned以及std_ulogic的算术运算(包括类型转换)做了定义! 
注意:该库函数无法对STD_LOGIC_VECTOR做任何运算。 
- std_logic_unsigned/std_logic_signed : 这两个库文件是对std_logic_arith 的延伸,适用与对STD_LOGIC_VECTOR进行运算,std_logic_unsigned将会把STD_LOGIC_VECTOR转换成无符号数进行运算;而std_logic_signed 将把STD_LOGIC_VECTOR转换成有符号数进行运算。

2. signed、unsigned以及std_logic_vector之间的区别

在讲述NUMERIC_STD之前先来阐述几点小问题。首先就是signed与unsigned这两种数据类型。他们的定义为:

type UNSIGNED is array (NATURAL range <>) of STD_LOGIC;
type SIGNED is array (NATURAL range <>) of STD_LOGIC;

与std_logic_vector的定义完全相同。所不同的是表示的意义不同。举例来说:

“1001”的含义对这三者而言是不同的: 
*std_logic_vector : 简单的四个二进制位; 
*unsigned : 代表数字9; 
*signed : 代表数字 -7(补码表示的);

一定要重视signed与unsigned这两种类型。

3. NUMERIC_STD

终于来到了故事的主人公: NUMERIC_STD。使用NUMERIC_STD可以完全替代std_logic_arith、std_logic_unsigned、std_logic_signed这三个库文件! 
- 首先,NUMERIC_STD这个库文件才是血统最正的IEEE库文件!!上述的其他三个其实都是Synopsis 这个公司的,但是由于这个公司抢先了一步,所以占据了大量的用户资源。 
- std_logic_arith、std_logic_unsigned、std_logic_signed的问题在于当在同一文件中同时使用signed和unsigned时,会出现函数重载的冲突,导致错误。 
- 其次,NUMERIC_STD是完全基于signed和unsigned所写的算术重载函数和数据类型转换函数。不管是INTEGER还是STD_LOGIC_VECTOR要进行算术运算,都必须转换为signed和unsigned两种数据类型。 
技术分享图片 
技术分享图片 
下面举个例子来说明NUMERIC_STD库的使用。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity decode is 
port
(
  DIN : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
  EN : IN STD_LOGIC;
  DOUT : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
end decoder;
architecture behave of decoder is 
begin
DOUT <= std_logic_vector(to_unsigned(0,64));
if EN=‘1‘ then
DOUT(to_integer(unsigned(DIN))) <= ‘1‘;
end if;
end process;
end behave;

4. shift_left() and shift_right()

虽然有srl, sll, sra, sla这几个移位操作符,但是这几个操作符已经被 shift_left() and shift_right()这两个函数所取代,原因移位操作运算符的发展历史

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;               -- Needed for shifts
entity example_shift is
end example_shift;
architecture behave of example_shift is
  signal r_Shift1     : std_logic_vector(3 downto 0) := "1000";
  signal r_Unsigned_L : unsigned(3 downto 0)         := "0000";
  signal r_Unsigned_R : unsigned(3 downto 0)         := "0000";
  signal r_Signed_L   : signed(3 downto 0)           := "0000";
  signal r_Signed_R   : signed(3 downto 0)           := "0000";  
begin
  process is
  begin
    -- Left Shift
    r_Unsigned_L <= shift_left(unsigned(r_Shift1), 1);
    r_Signed_L   <= shift_left(signed(r_Shift1), 1);
    -- Right Shift
    r_Unsigned_R <= shift_right(unsigned(r_Shift1), 2);
    r_Signed_R   <= shift_right(signed(r_Shift1), 2); 
    wait for 100 ns;
  end process;
end architecture behave;

shift_left() and shift_right()具体用法在此不赘述。

5. 总结

我个人觉得,虽然NUMERIC_STD有时候操作有点繁琐,但是更加规矩,并且可以有效避免一些错误,所以我觉得今后应该首选使用该库文件。














以上是关于[转]VHDL中数据类型转换与移位(STD_LOGIC_ARITH与NUMERIC_STD)的主要内容,如果未能解决你的问题,请参考以下文章