Simulink HDL Coder FPGA初级开发实践 自动贩卖机建模

Posted 肆拾伍

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Simulink HDL Coder FPGA初级开发实践 自动贩卖机建模相关的知识,希望对你有一定的参考价值。

前言: 本栏目除特别说明以外,均采用的黑金AX7103开发板,该开发板时钟频率为200M,并且是双端时钟,因此在每个项目中都有一段原语将双端时钟变成200MHz的单端时钟。文章仅作为学习记录,如有不足请在评论区指出,博主不会对各位的问题作出解答,请谅解。博主深知网络上关于HDL Coder的资料十分稀少,特别是中文资料几乎没有,并且官方给出的例子大多挺难不适合入门,因此将自己摸索的过程记录下来,希望给后人一些启发。

文章目录

1. Simulink 模型


开发板有 4个LED灯,2个用户按键。 KEY1代表五毛,KEY2代表1元,每增加五毛亮一个灯,售卖机中有一瓶可乐售价为2.5元,投入2.5元后出货,3元找零,通过两个方向的流水灯来区分。
subsystem中是两个消抖模块,不多介绍,这里查看状态机的转移:
An表示亮n个灯,A5表示出货,A6表示找零。


我们重点看流水灯的实现:

由于生成文件较多,所以这里不贴代码了。

2. 完整代码

链接:https://pan.baidu.com/s/1JCZMlLzBddtg9Ao7pU4guA?pwd=1111
提取码:1111
–来自百度网盘超级会员V6的分享

3. 完整使用流程

如果对HDL Coder的使用流程不熟悉,请根据另一篇文章从头练习一边,见Simulink HDL Coder FPGA开发实践之 基本使用流程介绍

以上是关于Simulink HDL Coder FPGA初级开发实践 自动贩卖机建模的主要内容,如果未能解决你的问题,请参考以下文章

Simulink HDL Coder FPGA初级开发实践 按键消抖

Simulink HDL Coder FPGA初级开发实践 LED呼吸灯

Simulink HDL Coder FPGA初级开发实践 LED呼吸灯

Simulink HDL Coder FPGA初级开发实践 LED流水灯

Simulink HDL Coder FPGA初级开发实践 LED流水灯

Simulink HDL Coder FPGA初级开发实践 自动贩卖机建模