FPGA教程案例10基于Verilog的复数乘法器设计与实现

Posted fpga和matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了FPGA教程案例10基于Verilog的复数乘法器设计与实现相关的知识,希望对你有一定的参考价值。

FPGA教程目录

MATLAB教程目录

---------------------------------------------------------------------------------------

1.软件版本

vivado2019.2

2.本算法理论知识和Verilog程序

       在数字信号处理过程中,复数乘法有着非常多的应用,包括信号混频,FFT,IFFT。复数乘法器的计算公式如下:

设复数

        x = a + b i,

        y = c + d i,

则复数相乘的结果为:

x * y = (a + b i)*(c + d i) = (ac - bd) + i (ad + bc) 。

由此可以看到,一个复数乘法器,包括四个乘法器(完成a*c,b*d,a*d以及b*c),三个加法器。

其中乘法器的实现,参考前面课程:

高性能云服务器 精品线路独享带宽,毫秒延迟,年中盛惠 1 折起

以上是关于FPGA教程案例10基于Verilog的复数乘法器设计与实现的主要内容,如果未能解决你的问题,请参考以下文章

FPGA教程案例40通信案例10——基于FPGA的简易OFDM系统verilog实现

FPGA教程案例51控制案例3——基于FPGA的PWM波形产生verilog实现

FPGA教程案例45图像案例5——基于FPGA的图像均值滤波verilog实现,通过MATLAB进行辅助验证

FPGA教程案例50控制案例2——基于FPGA的PD控制器verilog实现

FPGA教程案例96控制案例1——基于FPGA的自适应PID控制器verilog实现

FPGA教程案例49控制案例1——基于FPGA的PID控制器verilog实现