FPGA小波变换基于FPGA的图像9/7整数小波变换verilog实现

Posted fpga和matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了FPGA小波变换基于FPGA的图像9/7整数小波变换verilog实现相关的知识,希望对你有一定的参考价值。

1.软件版本

quartusii12.1

2.系统概述

     CCSDS标准在去相关模块中建议采用9/7整数小波变换,即是对原始图像先进行行变换,然后对变换后的数据再进行列变换。需要三级这样的二维小波变换,将图像分为10个子带。假设原始图像为零级小波变换后的LL0,那么后一级就是对前一级变换之后得到的LLi(i=0,1,2)再进行整数提升小波变换所得的结果。由于需要三级整数提升小波变换,每一次变换需要变换的部分的边长都为前一级的1/2,所以要求待变换的图像宽高是8的倍数。如果不满足要求,则需要对图像进行扩边处理。

       CCSDS标准中采用的9/7整数小波变换就是这样一种提升小波变换,并且它只需一次提升。其算法公式可通过改变式得到:

开发者涨薪指南 48位大咖的思考法则、工作方式、逻辑体系

以上是关于FPGA小波变换基于FPGA的图像9/7整数小波变换verilog实现的主要内容,如果未能解决你的问题,请参考以下文章

MATLAB教程案例25常用图像变换域的matlab仿真分析——DFT频域,DCT域,小波域等

求基于小波变换的图像融合的程序算法(Matlab)

小波变换后的图像如何去噪

图像融合基于matlab双树复小波变换像素级图像融合含Matlab源码 2024期

基于小波变换实现图像增强

图像融合基于matlab双树复小波变换像素级图像融合含Matlab源码 2024期