VHDL触发器复位不同于0

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了VHDL触发器复位不同于0相关的知识,希望对你有一定的参考价值。

是否有可能将触发器向量重置为与全0不同的值?就像是:

PROCESS (clk)
    BEGIN
        IF RISING_EDGE(clk) THEN
            IF rst = '1' THEN
                ff <= INPUT_VALUE;
...

这不能在合成中存活下来。我想在重置时获取ff的值,然后我正在改变它 - 它作为一个计数器,从输入中设置第一个值。

答案

如何实现正常复位然后使用加载信号将计数器设置为其他东西?这对我来说是标准的方式。

另一答案

以下是一个有趣的答案,实际上会起作用:

1)确定应该初始化的值的位模式。

2)对于每个应该为1的位,在触发器之前和之后在该线上放置一个非门。

现在复位引脚后的初始状态是你想要的状态。

以上是关于VHDL触发器复位不同于0的主要内容,如果未能解决你的问题,请参考以下文章

手机复位后AlarmManager报警不触发

VHDL设计----十进制计数器

fft的vhdl实现

VHDL交通灯

移位寄存器的设计(VHDL)及testbench的编写

Verilog分频器代码仿真错误