与uvm_componentt相关的factory宏

Posted camellia3371----

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了与uvm_componentt相关的factory宏相关的知识,希望对你有一定的参考价值。

1、uvm_component_utils:它用于把一个直接或间接派生自uvm_component的类注册到factory中。

2、uvm_component_param_utils:它用于把一个直接或间接派生自uvm_component的参数化的类注册到factory中。

3、uvm_component_utils_begin

      uvm_component_utils_end:类似uvm_object_utils_begin ……uvm_object_utils_end,它用于同时需要使用factory机制和field_automation机制注册的类。

       field_automation机制对于uvm_component最大的意义在于自动使用config_db来得到某些变量的值。

4、uvm_component_param_utils_begin

      uvm_component_param_utils_end:类似uvm_object_utils_begin ……uvm_object_utils_end

以上是关于与uvm_componentt相关的factory宏的主要内容,如果未能解决你的问题,请参考以下文章

工厂方法 Factory Method

设计模式学习--Abstarct Factory

工厂方法模式(Factory Method)

使用modelformset_factory保存请求POST时的性能问题

面向对象设计——抽象工厂(Abstract Factory)模式

抽象工厂模式(Abstract Factory)