UVM中的通信方式

Posted camellia3371----

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了UVM中的通信方式相关的知识,希望对你有一定的参考价值。

除了blocking_put和blocking_get系列端口相关的PORT、EXPORT、IMP之外,UVM还有两种特殊的端口:analysis_port和analysis_export。这两者其实与put和get系列端口类似,都用于传递transaction。

它们的区别是:

第一,默认情况下,一个analysis_port(analysis_export)可以连接多个IMP。

以上是关于UVM中的通信方式的主要内容,如果未能解决你的问题,请参考以下文章

从零开始学习 UVM12.6UVM RAL(续更) —— RAL Predictor

Android中的IPC进程通信方式第三篇

UVM中启动sequence方法总结

Android中的IPC进程通信方式第四篇

Android中的IPC进程通信方式第一篇

Android中的IPC进程通信方式第二篇