怎么对Verilog定义的memory初始赋值

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了怎么对Verilog定义的memory初始赋值相关的知识,希望对你有一定的参考价值。

参考技术A 【1】Image_BMP应该是一个memory,数组是C元语言的叫法
【2】如果你只是仿真的话,可以用verilog的for循环语句把图片的数据一一存入
【3】如果用于综合,如果是Quartus的软件,建议你用
(* ram_init_file = "my_init_file.mif" *) reg [7:0]Image_BMP[391680:0];本回答被提问者采纳

以上是关于怎么对Verilog定义的memory初始赋值的主要内容,如果未能解决你的问题,请参考以下文章

verilog如何给数组赋值

verilog 初始化十进制数组

verilog 定义memory类型变量 reg[16:1] a[7:0], 赋值时候可不可以这样:a[5][16:9]=8'b11111111;

verilog数组赋值

verilog 二维数组不能赋值

如何给Verilog中的数组初始化赋值