vhdl语言有哪几种类操作符?

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了vhdl语言有哪几种类操作符?相关的知识,希望对你有一定的参考价值。

VHDL 提供了6种预定义的运算操作符:

    赋值运算符:赋值运算符用来给信号、变量和常数赋值。

   2.逻辑操作符:逻辑运算的操作数必须是BIT,STD_LOGIC或STD_ULOGIC类型的数据(或者是这些数据类型的扩展,即BIT_VECTOR,STD_LOGIC_VECTOR或STD_ULOGIC_VECTOR)。

   3.算术操作符:用来执行算术运算操作。操作数可以是INTEGER,SIGNED,UNSIGNED或REAL数据类型,其中REAL类型是不可综合的。如果声明了ieee库中的包集std_logic_signed和std_logic_unsigned,即可对STD_LOGIC_VECTOR类型的数据进行加法和减法运算。

   4.关系操作符      操作数必须是BIT, BIT_VECTOR, INTEGER。

   5.并置操作符   并置运算符用于位和位矢量的拼接,其操作数可以是支持逻辑运算的任何数据。

参考技术A 通常人们用“运算符”而不用“操作符”一词。VHDL中,运算符分为算术运算、逻辑运算、关系运算和连接运算4大类。

以上是关于vhdl语言有哪几种类操作符?的主要内容,如果未能解决你的问题,请参考以下文章

哪位高手知道DDS的波形查找表怎么生成?c语言怎么写?用VHDL又怎么弄?还有怎么调用ROM

急急急!!!用vhdl语言写一个计数器程序 下面的错误不知道哪里错了求指教

VHDL语言基础

VHDL 计数器实验看VHDL语言

[从零开始学习FPGA编程-9]:快速入门篇 - 操作步骤2 - 硬件电路图形化描述与文本硬件描述语言Verilog HDL与VHDL语言以及比较

基于VHDL语言的数字电子钟设计