数据发送模块---基于地址的检测(verilog代码)

Posted chensimin1990

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了数据发送模块---基于地址的检测(verilog代码)相关的知识,希望对你有一定的参考价值。

数据发送模块---基于地址的检测(verilog代码)

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: chensimin
// 
// Create Date: 2020/04/24 15:21:43
// Design Name: 
// Module Name: send_data
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////


module send_data(

    input  wire                 clk,
    input  wire                 rst,
    input  wire    [31 : 0]     address,
    input  wire                 valid,
    output reg     [31 : 0]     data
    );


always @(posedge clk or posedge rst)
begin
    if(rst)
        data <= 0;
    else if(valid)
    begin
        case(address)
            32h40000000 :  data <= 6;
            32h40000004 :  data <= 7;
            32h40000008 :  data <= 8;
            default      :  data <= 0;
        endcase
    end
end

endmodule

 

以上是关于数据发送模块---基于地址的检测(verilog代码)的主要内容,如果未能解决你的问题,请参考以下文章

FPGA人员检测基于FPGA的人员检测,verilog编程实现,含硬件测试

一个基于C#编写的基础网络信息检测工具

基于ucos的实时温度检测

Verilog -- 并行2bit输入序列检测器

Verilog -- 并行2bit输入序列检测器

通用8位SPI接口模块——verilog实现