vivado 波形保存以及arp

Posted cofin

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了vivado 波形保存以及arp相关的知识,希望对你有一定的参考价值。

1)把想要观测的信号线加入在线逻辑分析仪中。
        (2)上板测试,trigger到想要的实时数据,用一句TCL语句保存为ila格式的文件,那句话是write_hw_ila_data data1 [upload_hw_ila_data hw_ila_1] 。其中data1为用户自己取的文件名,要带上路径,hw_ila_1为要保存的那组实时信号的名字。还有两句是读出保存好的数据的,read_hw_ila_data data1.ila,display_hw_ila_data。
        (3)把保存好的.ila格式的文件导入matlab中,用unzip语句解压,会出现一个csv格式的文件,这个文件可以用excel打开,并能直观地看到所有sample。
        (4)在excel中用自带的函数功能对数据进行处理,处理后可以再导入matlab中进行高级处理。
---------------------
作者:凌霄阁
来源:CSDN
原文:https://blog.csdn.net/lxg54321/article/details/48274001
版权声明:本文为博主原创文章,转载请附上博文链接!

 

arp协议:

技术分享图片

如上图所示,

1092-1074 = 18  可以看到最后填充了18个00 .

物理层传输的数据的个数最小为64.

但是在wireshark 看不到填充的数据:

技术分享图片

以上需要注意。

 









以上是关于vivado 波形保存以及arp的主要内容,如果未能解决你的问题,请参考以下文章

vivado保存debug波形

FPGA-vivado仿真导出波形文件

vivado为啥ila信号被拆分

vivodo仿真文件出现在nonmodule files仿真波形全是红色和蓝色线,怎么办?

vivado microblaze 怎么查看波形

vivado仿真波形显示不完整