VHDL ERROR: found ‘0‘ definitions of operator “+“, cannot determine exact overloaded

Posted AnchorX

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了VHDL ERROR: found ‘0‘ definitions of operator “+“, cannot determine exact overloaded相关的知识,希望对你有一定的参考价值。

found \'0\' definitions of operator "+", 
cannot determine exact overloaded matching definition for "+"

“找到运算符“+”的“0”定义,无法确定“+”的精确重载匹配定义。”

可能出错在某个’+‘运算,编译软件无法找到该’+\'左右两边的运算定义。

 

原因是:在IEEE设计库的std_logic_unsigned程序包中,声明了很多“+”运算符的重载函数。
  而问题就出在缺少“+”运算符的重载函数,加入库后就完美解决了。

use ieee.std_logic_unsigned.all;

 

以上是关于VHDL ERROR: found ‘0‘ definitions of operator “+“, cannot determine exact overloaded的主要内容,如果未能解决你的问题,请参考以下文章

Error: No PostCSS Config found in... 报错 踩坑记

react中引入echarts报错Error: xAxis "0" not found

Android gradle build Error:(9, 0) Gradle DSL method not found: 'compile()'。

error: not found: value sc

Scala error: type mismatch; found : java.util.List[?0] required: java.util.List[B]

error: linker `link.exe` not found