system-verilog 动态数组的初始化

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了system-verilog 动态数组的初始化相关的知识,希望对你有一定的参考价值。

SV中定义一个associated 数组。想把一组@3806f0000 02121212121212121212121212121212121212121212121212121212121212121 的数据文件初始化进去,用的是readmemh,但是好像能初始化,但是初始化的数据时错的。麻烦赐教

VPP_INI_Memory的位宽是什么样的,文件是.mem文件?我用verilog的是直接用.txt的。还有就是数组中的数据格式,我你发的这个是没有空格的 参考技术A 是c语言吗,你是怎么初始化的。能贴出代码吗?追问

不是C语言,是system verilog中的动态数组:
$readmemh("init_vpp_dat_0.mem", VPP_INI_Memory);

追答

哎,这个就不懂了,帮不上忙了。

以上是关于system-verilog 动态数组的初始化的主要内容,如果未能解决你的问题,请参考以下文章

动态数组的初始化列表?

如何初始化动态对象数组

数组静态初始化和动态初始化

JAVASE 数组: 一维数组二维数组动态数组静态数组

C++如何在用new创建动态数组的同时初始化数组

动态数组