如何在ISE环境中使用ModelSim仿真

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了如何在ISE环境中使用ModelSim仿真相关的知识,希望对你有一定的参考价值。

产生ISE仿真库文件,开始-》所有程序-》Xilinx ISE Design Suits ->ISE Desin Tools ->Tools->Simulation Library Compilation Wizard

选择你需要的版本,本版本选择modelsim SE,选语言,支持的FPGA芯片,库的类型等

点击生成,这个过程可能需要10多分钟的时间。

待库生成完毕后,这个时候回到你的ISE的安装目录下就会看见一个Modelsim.ini的文件
(提示在Modelsim的安装目录下也是有的哦)
把这个在ISE的安装目录下的Modelsim.ini打开,把阴影部分的内容拷贝至你安装好的Modelsim下的modelsim.ini文件中去(注意:要先改一下Modelsim下的这个文件的属性——去掉只读属性)具体见下面几页的PPT

1.打开ISE Project Navigator
2.Edit-》Preference

在弹出的窗口中进行图示的设置

在XC6VLX240T上进行右击-》Design Properties

这个时候的仿真器选择Modelsim-SE verilog

便可以在ISE中调用modelsim进行仿真了。
参考技术A 一个是专业级的仿真软件,一个是自带的仿真模块。 用modelsim仿真,运行速度快,仿真性能好,查看各种信号和操作简单,关键是界面交互人性化埃 ISE自带的那个简直就不好用,连波形的放大放小查看都鸡肋的很。 参考技术B 一个是专业级的仿真软件,一个是自带的仿真模块。 用modelsim仿真,运行速度快,仿真性能好,查看各种信号和操作简单,关键是界面交互人性化埃 ISE自带的那个简直就不好用,连波形的放大放小查看都鸡肋的很。

以上是关于如何在ISE环境中使用ModelSim仿真的主要内容,如果未能解决你的问题,请参考以下文章

ISE和Modelsim联合仿真(转)

ise启动modelsim出现如下的错误,该怎么办

ISE调用modelsim仿真

modelsim如何仿真

如何使用modelsim独立仿真VIVADO2014.4生成的IP

如何使用modelsim独立仿真VIVADO2014.4生成的IP