verilog图像算法实现和仿真(代码与实践)

Posted 嵌入式-老费

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了verilog图像算法实现和仿真(代码与实践)相关的知识,希望对你有一定的参考价值。

 【声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        这里的代码指的是verilog代码,而不是之前的python代码。因为verilog处理的是数据,所以之前我们也谈到过,如果需要用verilog处理图像数据,需要先用python把图像变成文本文件,等到verilog处理结束后,再用python把文本文件转换成图像数据。需要代码的同学可以在订阅专栏后,和作者私信联系获取verilog仿真代码和文件。

        目前需要处理的每一个算法,都可以提炼成这样的格式,

1、文件的构成

        以二值法为例,每一个算法都包含了这么几个文件。binary.v,用verilog实现了具体的图像算法;del.bat,删除临时文件的批处理文件;generate_bmp.py,将文本数据翻译成图像的python脚本文件;generate_data.py,将图像翻译成文本的python脚本文件;lena.bmp,原始图像处理文件;run.bat,算法流程批处理文件;tb.v,verilog单元测试文件。

2、测试方法

        我们所需要的全部操作,仅仅是单击run.bat

以上是关于verilog图像算法实现和仿真(代码与实践)的主要内容,如果未能解决你的问题,请参考以下文章

verilog图像算法实现与仿真(流程和实现)

verilog图像算法实现和仿真(并行处理方法)

FPGA纯verilog代码实现图像缩放,两种插值算法任意尺寸缩放,提供3套工程源码

对verilog的 仿真和综合有啥区别,具体一点

三帧差,边缘检测,FPGA基于FPGA的三帧差+边缘检测的Verilog实现

明德扬至简设计法--verilog综合器和仿真器