利用 VHDL 语言设计四个呼吸灯,呼吸频率分别为 0.1Hz,0.2Hz,0.4Hz, 0.8H

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了利用 VHDL 语言设计四个呼吸灯,呼吸频率分别为 0.1Hz,0.2Hz,0.4Hz, 0.8H相关的知识,希望对你有一定的参考价值。

z。

参考技术A verilog 语言相对于VHDL语言要简单些。如果你用VHDL不会可以试试verilog语言
而且你可以多看一下例子。
你的这个应该不难,估计会有现成的或是接近的追问

vhdl还懂一点 但verilog完全不会啊
利用vhdl得到一个频率的呼吸灯我会
但是要四个灯能同时按照自己的频率呼吸我就没办法了....

追答

你会C吗?这些语言都好学,一通俱通,只是可能需要花上一半个星期看看相关书籍

追问

C 汇编都会
但问题是需要用vhdl语言嘛

追答

哦,VHDL是需求呀?我以为只是实现功能即可

追问

是的 求大师帮忙

以上是关于利用 VHDL 语言设计四个呼吸灯,呼吸频率分别为 0.1Hz,0.2Hz,0.4Hz, 0.8H的主要内容,如果未能解决你的问题,请参考以下文章

[FPGA]Verilog利用PWM调制巧妙完成RGB三色彩虹呼吸灯(给简约的题目以美妙的解答)

Android蓝牙串口通信控制Arduino全彩呼吸灯

Arduino 利用PWM对板载LED实现呼吸灯效果

arduino呼吸灯流水编程问题

LabVIEW控制Arduino实现PWM呼吸灯(基础篇—5)

FPGA学习之 呼吸灯