fpga实操训练(硬件乘法器)

Posted 嵌入式-老费

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了fpga实操训练(硬件乘法器)相关的知识,希望对你有一定的参考价值。

【 声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        fpga上面的资源一般分成lut、pin、dff、dsp、pll。至于rom、ram、fifo,一般也是基于dff和lut来创建的,之前我们也讨论过。今天正好可以讨论下乘法器的使用。

        硬件乘法器在fpga上也是紧俏资源。对于fpga来说,加减运算、逻辑运算、移位运算,这些都是比较简单的。但是如果涉及到乘法,就比较麻烦一点了。而除法运算,对于fpga的开发者来说,一般也是转换成乘法运算。至于说浮点运算,通常是不会涉及的,这也不是fpga的强项所在。

1、创建一个ip_dsp工程

        这一步骤和之前其他工程的创建方法没有太多的不同。

2、调用lpm_mult乘法器

        在ip catalog中输入mult,在提示的选项中选择lpm_mult,

         命名好文件之后,就可以开始配置了。这里面最重要的部分就是设置字节的宽度,

         设置好宽度信息之后,其他部分都采用默认配置即可。

以上是关于fpga实操训练(硬件乘法器)的主要内容,如果未能解决你的问题,请参考以下文章

FPGA综合实验 03 - | 8位硬件乘法器设计

fpga的优点与缺点

FPGA教程案例16通过乘法器和ROM开发低延迟除法器

FPGA课设-基于Xilinx Basys2开发板的除法器设计

fpga实操训练(系统开发和硬件接口)

fpga实操训练(系统开发和硬件接口)