FPGA的NIOS-II开发入门

Posted 满足没有

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了FPGA的NIOS-II开发入门相关的知识,希望对你有一定的参考价值。

目录

硬件设计

项目创建

详见:
FPGA入门项目创建部分

Qsys系统设计

1.点击Tools->Platform Designer(有些可直接点击Qsys
2.启动后,点击file->save保存文件,文件名随意(尽量不要用中文)
3.双击clk_0,对clock进行时钟设置,设置为50M

4.添加CPU和外围器件
从 PD 的元件池中选择以下元件加入到当前设计的系统中:
Nios II 32-bit CPU、jtag uart、片上存储器、PIO、system ID

  1. 添加Nios II 32-bit CPU
    a. 在“component library”标签栏中找到“Nios II Processor”后点击 Add

    b.在 Nios Core 栏中选择 Nios II/f 选项,其他保持默认选项

    c.在”Caches and Memory Interfaces”标签栏中保持默认设置(Instruction Cache 选择4Kbytes)

    d.在”Advanced Features”标签栏中保持默认设置
    e.在”MMU and MPU Settings”标签栏中保持默认设置
    f.在”JTAG Debug Module”标签栏中保持默认设置(注意勾选 Include JTAG Debug)

    g.点击 Finish 回到 PD 界面
    h.将 nios2_qsys_0 重命名为 cpu,右键点击选中”Rename”即可重新命名
    i.将 cpu 的 clk 和 reste_n 分别与系统时钟 clk_0 的 clk 和 clk_reset 相连

注意:对模块命名要遵循以下规则:
⚫ 名字最前面应该使用英文;
⚫ 能使用的字符只有英文字母、数字和下划线“”;
⚫ 不能连续使用“
”符号,在名字的最后也不能使用“_”。

  1. 添加 jtag uart 接口

jtag uart 接口是 Nios II 嵌入式处理器新添加的接口元件,通过 内嵌在 Intel FPGA 内部的 JTAG电路,可以实现在 PC 主机与 Qsys 系统之间进行串行字符流通信。

a. 从”Component Library”标签栏中的查找窗口输入 jtag 找到”JTAG UART ”,点击 Add。
b. 在 JTAG UART-jtag-uart_0 的设置向导中保持默认选项,点击 Finish。
c. 返回”System Contents”标签栏可以看到新加入的”JTAG UART”核。在”Name”列中将 jtag-uart_0 重命名为 jtag-uart。
d. 进行 clk、reset 以及 master-slave 的连线。

e. 进行中断 irq 连线。

  1. 添加片上存储器 On-Chip Memory(RAM)核
    a. 从”Component Library”标签栏中的查找窗口输入 On Chip 找到”On-ChipMemory(RAM or ROM)”后点击 Add

    b. 在”Size”栏中的”Total memory size”窗口中输入 40960(即片上内存的大小为 40KB),其余选项保持默认,点击 Finish。

    c. 返回”System Contents”标签栏可以看到新加入的”On-Chip Memory”核。在”Name”列中将 onchip_memory2_0 改名为 onchip_ram。
    d. 进行时钟、数据端口、指令端口的连接.

  2. 添加 PIO 接口
    a. 从”Component Library”标签栏中的查找窗口输入 pio 找到”PIO”后点击
    Add。

    b. 确定以下选项:Width 为 8bits,Direction 选择 output,其余选项保持默认,点击Finish。

    c. 返回”System Contents”标签栏可以看到新加入的”PIO”核。在”Name”列中将pio_0 改名为 pio_led。并在在 Export 栏处双击,把输出口引出来,并命名为 out_led。
    d. 进行时钟、数据端口、指令端口的连接

  3. 添加片 System ID Peripheral 核
    a. 从”Component Library”标签栏中的查找窗口输入 sys 找到” System ID Peripheral”后点击 Add。
    b. 保持默认选项,单击 Finish。

注:之前在 SOPC Builder 中 System ID 是自动生成的,但是在 PD(Qsys )里已经不再自动生成。在 System ID 中可以输入一个 32 位的十进制整数值,保持 0 当然也可以。

c. 返回”System Contents”标签栏可以看到新加入的” System ID Peripheral”核。在”Name”列中将 sysid_qsys_0 改名为 sysid。
d. 进行时钟、数据端口的连接。

5.完成 Qsys 设计的后续工作

(1)基地址分配:点击 PD 主界面菜单栏中 System->Assign Base Addresses
完成后”Base”栏将出现不会重复的具体的地址,如图:

(2)分配中断号:在”IRQ”标签栏下点选”Avalon_jtag_slave”和 IRQ 的连接点就会为”jtag_uart”核添加一个值为 0 的中断号。

(3)指定 NIos II 的复位和异常地址:从”System Contents”标签栏
双击建立好的 cpu 进入 Nios II Processor 的配置界面,配置 Reset Vector 和 Exception Vector 为””onchip_ram.s1”,点击 Finish。

(4)点击 Qsys 主界面菜单栏中的”System”下的”Create Global Reset Network”。完成后会自动连接所有复位端口。

(5)生成 Qsys 系统:点选”Generation HDL”标签栏中 Generate 按
钮生成 Qsys 系统。

如果提示是否保存.qsys 文件,请选择保存。完成后会显示类似如下窗口:

点击close后关闭窗口,再关闭 Platform Designer 主界面

(6)在原理图(BDF)文件中添加 PD 生成的系统符号,在空白处双击将已生成的 kernel 加入 top_level.bdf 中。

(7)加入 Quartus II IP File 文件,为了以后编译成功,请务必将对应的 Quartus II IP File (.qip) 加入项目中。

进行逻辑连接和生成管脚

(1)逻辑连接。开发板晶振为 50M,与系统默认一致,因此,这里我们不需要修改。
(2)在 kernel 模块内点击鼠标右键选取 Generate Pin for Symbol Ports 生成管脚。

3)将管脚”clk_clk”改名为 clock,管脚”reset_reset_n”改名为 reset_n,管脚 out_led_export[7…0]改为 out_led[7…0]。

芯片引脚设置

菜单里选择 Assignments->device,然后点击 Device pin options。

然后进行 unused pin 设置,将未用引脚设置为 As input tri-stated

特殊引脚设置,设置为常规引脚。

编译

回到 Quartus II 主界面后保存并编译项目。

分配物理针脚

点击管脚快捷键进入 Pin Planner 界面,按照开发板提供的管脚名称分配针脚。

完成后关闭 Pin Planner,回到 Quartus Prime 主界面后再次编译项目。

至此,项目的硬件设计完成。(只能说真的时有点复杂,并且很多细微的地方非常容易出错,所以一定要仔细仔细再仔细)

软件设计

启动 Nios II SBT

点击 Tools->Nios II Software Build Tools for Eclipse 打开 Nios II SBT for Eclipse

启动 Workspace 选择当前的项目目录

创建工程

建立新的软件应用

在”SOPC Information File name”窗口中选择 kernel.sopcinfo 文件,以便将生成硬件配置信息和软件应用关联,CPU 栏会自动选择”CPU”。
在”Project name”输入”hello_world,”Project template”选择 Hello_World。点击 Finish。

流水灯控制程序

#include "system.h"
#include "altera_avalon_pio_regs.h"
#include "alt_types.h"

const alt_u8
led_data[8]=0x01,0x03,0x07,0x0F,0x1F,0x3F,0x7F,0xFF;
int main (void)

	int count=0;
	alt_u8 led;
	volatile int i;
	while (1)
		if (count==7)
		count=0;
		else
		count++;
		led=led_data[count];
		IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, led);
		i = 0;
		while (i<500000)
			i++;
	
	return 0;

编译

运行项目

由于时间问题没能在实验室做完,所以没办法展示出结果。

以上是关于FPGA的NIOS-II开发入门的主要内容,如果未能解决你的问题,请参考以下文章

FPGA开发入门

FPGA开发入门

FPGA开发入门

[从零开始学习FPGA编程-8]:快速入门篇 - FPGA功能开发详细流程与关键步骤解读

[从零开始学习FPGA编程-2]:本系列主要内容预览(持续更新):快速入门篇提升篇高阶篇

[从零开始学习FPGA编程-6]:快速入门篇 - 国内外FPGA主要厂家及其主要产品系列开发工具