Quartus17打开RTL视图

Posted 只是有点小怂

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了Quartus17打开RTL视图相关的知识,希望对你有一定的参考价值。

  • 其他版本Quartus类似,位于右下进度图
  • 展开Analysis & Synthesis下的Netlist Viewers,点击RTL Viewer就可以查看RTL视图

以上是关于Quartus17打开RTL视图的主要内容,如果未能解决你的问题,请参考以下文章

Quartus17打开RTL视图

Quartus17运行仿真RTL Simulation

Quartus17运行仿真RTL Simulation

Quartus17运行仿真RTL Simulation

Quartus17报错Top-level design entity “dff“ is undefined的解决办法

Quartus17报错Top-level design entity “dff“ is undefined的解决办法