三帧差,边缘检测,FPGA基于FPGA的三帧差+边缘检测的Verilog实现

Posted fpga&matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了三帧差,边缘检测,FPGA基于FPGA的三帧差+边缘检测的Verilog实现相关的知识,希望对你有一定的参考价值。

1.软件版本

ISE14.7,modelsim10.1c

2.本算法理论知识

       由于在FPGA中,直接做视频的模拟比较麻烦,而且你要求仿真来实现,所以这里我们仅是使用三帧图像,并将这三个图像事先保存到存储器中,然后进行滤波,边缘检测以及三帧差算法的处理。

        下面我们对这三个模块分别进行介绍:

  ·中值滤波

        对图像进行3*3的滤波,这里中值滤波的本质就是对邻近区域内3*3区域计算均值,这里,我们使用自己编写的程序(不使用MATLAB自带的中值滤波函数)来仿真。

        仿真结果如下所示:

以上是关于三帧差,边缘检测,FPGA基于FPGA的三帧差+边缘检测的Verilog实现的主要内容,如果未能解决你的问题,请参考以下文章

FPGA帧差基于VmodCAM摄像头的帧差法目标跟踪FPGA实现

图像检测基于帧差法实现人脸实时检测与跟踪matlab源码含 GUI

图像检测基于帧差法实现人脸实时检测与跟踪matlab源码含 GUI

FPGA目标跟踪基于FPGA的帧差法和SAD匹配算法的目标跟踪实现

11 帧差法获取运动

求基于OpenCV的三帧差分算法代码