xilinx vivado 2019 驱动问题,Connecting to hw_server url TCP:localhost:3121,jtag连接不上

Posted fpga&matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了xilinx vivado 2019 驱动问题,Connecting to hw_server url TCP:localhost:3121,jtag连接不上相关的知识,希望对你有一定的参考价值。

问题:

在对vivado2019.2软件中烧写比特流时,打开硬件目标找不到JTAG,open target连接不上,显示信息为:

INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121

INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042

解决方法:

一般情况下是因为Vivado的驱动没有安装好,只需要将驱动安装上即可,路径为D:\\programme\\Xilinx\\Vivado\\2019.2\\data\\xicom\\cable_drivers\\nt64\\digilent\\install_digilent.exe
建议在安装的过程中,将vivado关闭以及Jtag连接器先拔掉,安装驱动成功后在重新开始打开vivado进行连接;否则可能会在安装后也不能正常连接。在点击open target时,请将设备电源打开。

以上是关于xilinx vivado 2019 驱动问题,Connecting to hw_server url TCP:localhost:3121,jtag连接不上的主要内容,如果未能解决你的问题,请参考以下文章

安装xilinx ise后,会出现多个应用程序比如vivado,vivado HLS, xilin

xilinx vivado下microblaze里面C编程中,怎么通过串口实现PC机和FPGA中RAM数据相互读写?

[Xilinx]Modelsim独立仿真Vivado生成的PLL核

Xilinx Vivado器件分配管脚:LVDS差分电平信号如何分配管脚?

Xilinx Vivado器件分配管脚:LVDS差分电平信号如何分配管脚?

Xilinx Vivado的使用详细介绍:使用IP核