DDS基于FPGA的DDS研究与设计

Posted fpga&matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了DDS基于FPGA的DDS研究与设计相关的知识,希望对你有一定的参考价值。

1.软件版本

ISE14.7

2.本算法理论知识

       DDS(Direct Digital frequency Synthesis)即直接数字频率合成器,是一种新型的频率合成技术,具有较高的频率分辨率,快速的频率切换,稳定性好,可灵活产生多种信号的优点。因此,在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。在数字化的调制解调模块中。DDS取代了VCO(模拟的压控振荡器),被大量应用。这种合成技术是一种利用数字技术来控制信号的相位增量的技术,它采用插值取样的方式,将要合成的正弦波波形用若干个采样点的取值来代替,然后依次等时间间隔输出这些取值,每个采样点的值由预先存储的数字值经D/A转换后得到。

DDS工作原理框图如图1所示。其基本结构包括:相位累加器PA、波形查询表ROM、数模转换器DAC及低通滤波器。

 图1 DDS原理框图

以上是关于DDS基于FPGA的DDS研究与设计的主要内容,如果未能解决你的问题,请参考以下文章

采用DDS设计信号发生器,完成设计方案

FPGA教程案例29基于FPGA的DDS直接数字频率合成器之二——Verilog开发

FPGA教程案例28基于FPGA的DDS直接数字频率合成器之一——原理介绍

FPGA教程案例30基于FPGA的DDS直接数字频率合成器之三——借助MATLAB进行频率精度分析

基于FPGA的高精度DDS设计和测试

FPGA学习之 直接数字频率合成器(DDS)