在Vivado中,使用锁定增量编译技术进行增量综合布局布线

Posted fpga&matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了在Vivado中,使用锁定增量编译技术进行增量综合布局布线相关的知识,希望对你有一定的参考价值。

        为了实现对FPGA系统的place、route的锁定,只通过vivado中setting中的增量设置是不够的,这里需要加入锁定设计。其具体步骤如下:

       打开vivado软件,在弹出的界面中,选择如下菜单:

 选择已经被布局布线过的工程中的如下文件:

打开之后,可以看到dcp文件的效果图:

 

      打开后,在TCL Console中输入:“lock_design –level routing”,然后按回车,做完这一步后,设计就锁定好了,dcp文件就可以用了。这个步骤时间较长,需要耐心等待。

 

完成之后,点击保存,,然后重新打开工程,做完程序修改之后,然后做如下的设置:

 

 然后开始综合,实现锁定增量编译。

以上是关于在Vivado中,使用锁定增量编译技术进行增量综合布局布线的主要内容,如果未能解决你的问题,请参考以下文章

vivado 2017需要注册吗

CMake通过工具链升级进行增量编译

egret(旧版本)自动增量编译

Freeline:Gradle工程上如何进行增量编译?

SQL 原子增量和锁定策略 - 这安全吗?

使用UCACHE灾备云帮操作系统实现增量备份