verilog怎样定义可变数组

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了verilog怎样定义可变数组相关的知识,希望对你有一定的参考价值。

参考技术A verilog不支持动态数组
systemverilog支持
写法如:
int a[]
bit [7:0] b[]

以上是关于verilog怎样定义可变数组的主要内容,如果未能解决你的问题,请参考以下文章

C语言实现一个可变长的二维数组

如何自定义一个长度可变数组

verilog中有谁用过用parameter定义的常数做赋值语句的位宽限制

在C#中怎么使数组是个可变的,数组大小由用户自己输入

iOS 中 关于 NSUserDefault中存储可变数组的问题

Scala学习(集合01)