基于FPGA的QPSK调制系统verilog开发

Posted fpga和matlab

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了基于FPGA的QPSK调制系统verilog开发相关的知识,希望对你有一定的参考价值。

目录

一、理论基础

二、核心程序

三、测试结果


一、理论基础

        正交相移键控(Quadrature Phase Shift Keying,QPSK)是一种数字调制方式。它分为绝对相移和相对相移两种。由于绝对相移方式存在相位模糊问题,所以在实际中主要采用相对移相方式DQPSK。QPSK是一种四进制相位调制,具有良好的抗噪特性和频带利用率,广泛应用 于卫星链路、数字集群等通信业务。 在数字信号的调制方式中QPSK是最常用的一种卫星数字信号调制方式,它具有较高的频谱利用率、较强的抗干扰性、在电路上实现也较为简单。偏移四相相移键控信号简称“O-QPSK”。全称为offset QPSK,也就是相对移相方式OQ

以上是关于基于FPGA的QPSK调制系统verilog开发的主要内容,如果未能解决你的问题,请参考以下文章

FPGA教程案例79通信案例5——基于FPGA的QPSK调制解调系统实现

FPGA教程案例34通信案例4——基于FPGA的QPSK调制信号产生,通过matlab测试其星座图

基于FPGA的OFDM-BPSK链路verilog实现,开发平台为quartusii

OQPSK基于MATLAB/FPGA的OQPSK实现

MSK基于FPGA的MSK调制系统开发

基于FPGA的costas环同步系统仿真与分析