FPGA的学习:基于ROM的VGA图像显示(弹跳特效)

Posted 石小舟

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了FPGA的学习:基于ROM的VGA图像显示(弹跳特效)相关的知识,希望对你有一定的参考价值。

以十色等宽彩条做背景,将存储于 ROM 中的图片显示在 VGA 显示器上,
图片显示初始位置为有效显示区域左上角,图片沿着与水平方向 45 度夹角的方向,向右下角运动,运动过程中,当图片边沿触及显示区域边沿,图片向反方向运动,实现图片弹跳特效。图片分辨率为 100*100,VGA 显示模式为 640x480@60。

最终效果如下:










matlab代码如下:

WIDTH=16;
DEPTH=10000;

ADDRESS_RADIX=UNS;
DATA_RADIX=HEX;

CONTENT
	BEGIN
		0	:0	;
		1	:0	;
		2	:0	;
		3	:0	;
		4	:0	;
		5	:0	;
		6	:840	;
		7	:1080	;
		8	:1081	;
		9	:1081	;
		10	:1081	;
		11	:1081	;
		12	:1081	;
		13	:1081	;
		14	:1081	;
		15	:1081	;
		16	:1081	;
		17	:1081	;
		18	:1081	;
		19	:1081	;
		20	:1081	;
		21	:1081	;
		22	:1081	;
		23	:1081	;
		24	:1081	;
		25	:1081	;
		26	:1081	;
		27	:1061	;
		28	:1060	;
		29	:1060	;
		30	:1060	;
		31	:1060	;
		32	:1061	;
		33	:1060	;
		34	:860	;
		35	:860	;
		36	:1081	;
		37	:1060	;
		38	:1080	;
		39	:1081	;
		40	:1080	;
		41	:1080	;
		42	:1080	;
		43	:1080	;
		44	:1080	;
		45	:1080	;
		46	:1081	;
		47	:10a0	;
		48	:1080	;
		49	:1081	;
		50	:10a0	;
		51	:10a0	;
		52	:1080	;
		53	:1080	;
		54	:10a1	;
		55	:1081	;
		56	:1080	;
		57	:1060	;
		58	:1080	;
		59	:1080	;
		60	:1060	;
		61	:1060	;
		62	:860	;
		63	:840	;
		64	:840	;
		65	:840	;
		66	:840	;
		67	:840	;
		68	:860	;
		69	:1060	;
		70	:1060	;
		71	:1081	;
		72	:1060	;
		73	:1060	;
		74	:1060	;
		75	:1060	;
		76	:1060	;
		77	:10a0	;
		78	:10a1	;
		79	:1081	;
		80	:1081	;
		81	:1081	;
		82	:1081	;
		83	:1080	;
		84	:1060	;
		85	:1080	;
		86	:1060	;
		87	:1060	;
		88	:1081	;
		89	:1060	;
		90	:1060	;
		91	:860	;
		92	:1060	;
		93	:840	;
		94	:0	;
		95	:0	;
		96	:0	;
		97	:0	;
		98	:0	;
		99	:0	;
		100	:0	;
		101	:0	;
		102	:0	;
		103	:0	;
		104	:20e2	;
		105	:7b85	;
		106	:d5c9	;
		107	:ff6c	;
		108	:ffcd	;
		109	:ffce	;
		110	:ffce	;
		111	:ffef	;
		112	:ffef	;
		113	:fff0	;
		114	:fff0	;
		115	:fff0	;
		116	:fff0	;
		117	:fff0	;
		118	:fff1	;
		119	:fff1	;
		120	:fff1	;
		121	:ffd1	;
		122	:ffb0	;
		123	:ff6f	;
		124	:ff4f	;
		125	:ff2e	;
		126	:feed	;
		127	:f6ad	;
		128	:f68c	;
		129	:ee6b	;
		130	:ee2b	;
		131	:e60a	;
		132	:ddc9	;
		133	:dd89	;
		134	:d568	;
		135	:d568	;
		136	:dda8	;
		137	:e609	;
		138	:ee49	;
		139	:f669	;
		140	:fea9	;
		141	:fee9	;
		142	:ff09	;
		143	:ff29	;
		144	:ff4a	;
		145	:ff4a	;
		146	:ff8a	;
		147	:ff69	;
		148	:ff8a	;
		149	:ff89	;
		150	:ff8a	;
		151	:ff8a	;
		152	:ff8a	;
		153	:ffaa	;
		154	:ffa9	;
		155	:ff89	;
		156	:ff09	;
		157	:f688	;
		158	:ee27	;
		159	:e5c6	;
		160	:dd66	;
		161	:d545	;
		162	:d525	;
		163	:cce5	;
		164	:ccc4	;
		165	:ccc4	;
		166	:c4a4	;
		167	:c4a4	;
		168	:ccc5	;
		169	:d506	;
		170	:d546	;
		171	:dd87	;
		172	:e5c8	;
		173	:ede8	;
		174	:ee29	;
		175	:f66a	;
		176	:fe8a	;
		177	:fecb	;
		178	:ff0c	;
		179	:ff2c	;
		180	:ff6d	;
		181	:ff6d	;
		182	:ff4c	;
		183	:ff0b	;
		184	:fecb	;
		185	:fe8a	;
		186	:f66a	;
		187	:ee29	;
		188	:ee09	;
		189	:e5e8	;
		190	:e5a7	;
		191	:dd67	;
		192	:cd06	;
		193	:9be5	;
		194	:5a43	;
		195	:1880	;
		196	:0	;
		197	:0	;
		198	:0	;
		199	:20	;
		200	:0	;
		201	:0	;
		202	:0	;
		203	:41e2	;
		204	:d5c7	;
		205	:ff8b	;
		206	:ff2b	;
		207	:ff0b	;
		208	:ff0b	;
		209	:ff0c	;
		210	:ff0c	;
		211	:ff0c	;
		212	:ff2d	;
		213	:ff2d	;
		214	:ff2d	;
		215	:ff2e	;
		216	:ff2e	;
		217	:ff2e	;
		218	:ff2f	;
		219	:ff2f	;
		220	:ff2f	;
		221	:ff2f	;
		222	:ff2e	;
		223	:f6ee	;
		224	:eecd	;
		225	:ee8c	;
		226	:e66c	;
		227	:e62b	;
		228	:de0b	;
		229	:ddca	;
		230	:d5aa	;
		231	:d589	;
		232	:cd48	;
		233	:c528	;
		234	:c4e7	;
		235	:bcc7	;
		236	:bcc6	;
		237	:c506	;
		238	:cd47	;
		239	:d587	;
		240	:ddc8	;
		241	:e608	;
		242	:e628	;
		243	:e648	;
		244	:ee68	;
		245	:ee88	;
		246	:f6a8	;
		247	:f6c8	;
		248	:f6c8	;
		249	:f6c8	;
		250	:fee9	;
		251	:f6e9	;
		252	:fee8	;
		253	:fee9	;
		254	:fee8	;
		255	:ff09	;
		256	:f6e9	;
		257	:ee67	;
		258	:dde7	;
		259	:d566	;
		260	:cd25	;
		261	:c4e5	;
		262	:bca4	;
		263	:bc64	;
		264	:b444	;
		265	:b424	;
		266	:b424	;
		267	:b403	;
		268	:b424	;
		269	:b444	;
		270	:bc85	;
		271	:c4c6	;
		272	:c506	;
		273	:cd47	;
		274	:d588	;
		275	:dda8	;
		276	:dde9	;
		277	:e609	;
		278	:ee4a	;
		279	:ee6b	;
		280	:f6ac	;
		281	:feec	;
		282	:fecc	;
		283	:f6ac	;
		284	:f68b	;
		285	:ee4a	;
		286	:e62a	;
		287	:e5e9	;
		288	:dda9	;
		289	:d588	;
		290	:d567	;
		291	:cd07	;
		292	:c4e6	;
		293	:c4e5	;
		294	:cd05	;
		295	:93a4	;
		296	:3141	;
		297	:0	;
		298	:0	;
		299	:0	;
		300	:20	;
		301	:0	;
		302	:41c2	;
		303	:e628	;
		304	:ff29	;
		305	:f6ea	;
		306	:f6ea	;
		307	:feea	;
		308	:ff2e	;
		309	:ff32	;
		310	:ff53	;
		311	:ff33	;
		312	:ff33	;
		313	:ff53	;
		314	:ff53	;
		315	:ff53	;
		316	:ff53	;
		317	:ff33	;
		318	:ff53	;
		319	:ff53	;
		320	:ff53	;
		321	:ff33	;
		322	:ff33	;
		323	:ff33	;
		324	:ff32	;
		325	:f732	;
		326	:f712	;
		327	:f712	;
		328	:f711	;
		329	:f6f1	;
		330	:f6d0	;
		331	:f6d0	;
		332	:eeb0	;
		333	:eeaf	;
		334	:eeaf	;
		335	:ee8f	;
		336	:ee8e	;
		337	:ee8e	;
		338	:eead	;
		339	:f6ad	;
		340	:f6ad	;
		341	:f6ad	;
		342	:f6ad	;
		343	:f6cc	;
		344	:f6cc	;
		345	:f6cc	;
		346	:f6cb	;
		347	:f6cb	;
		348	:f6cb	;
		349	:f6cb	;
		350	:f6ab	;
		351	:f6ab	;
		352	:f6ab	;
		353	:ee8a	;
		354	:f68a	;
		355	:ee6a	;
		356	:ee6a	;
		357	:ee4a	;
		358	:e629	;
		359	:e609	;
		360	:dde9	;
		361	:ddc8	;
		362	:dda8	;
		363	:d588	;
		364	:dd88	;
		365	:d568	;
		366	:d567	;
		367	:d547	;
		368	:d547	;
		369	:cd47	;
		370	:d547	;
		371	:cd47	;
		372	:d527	;
		373	:d547	;
		374	:cd47	;
		375	:cd47	;
		376	:cd47	;
		377	:cd47	;
		378	:cd47	;
		379	:d527	;
		380	:cd47	;
		381	:cd47	;
		382	:cd47	;
		383	:cd26	;
		384	:cd06	;
		385	:c506	;
		386	:c4e6	;
		387	:c4c6	;
		388	:c4c5	;
		389	:bca5	;
		390	:c4a5	;
		391	:c4e6	;
		392	:cd06	;
		393	:c4e6	;
		394	:c4a5	;
		395	:c4c4	;
		396	:ac04	;
		397	:3122	;
		398	:0	;
		399	:0	;
		400	:0	;
		401	:18c1	;
		402	:c545	;
		403	:f6a8	;
		404	:f6a8	;
		405	:f6c8	;
		406	:ff0c	;
		407	:ff54	;
		408	:ff56	;
		409	:ff55	;
		410	:ff56	;
		411	:ff55	;
		412	:ff55	;
		413	:ff55	;
		414	:ff55	;
		415	:ff55	;
		416	:ff55	;
		417	:ff34	;
		418	:ff54	;
		419	:ff34	;
		420	:ff54	;
		421	:ff54	;
		422	:ff34	;
		423	:ff54	;
		424	:ff34	;
		425	:ff34	;
		426	:ff34	;
		427	:ff53	;
		428	:ff33	;
		429	:ff33	;
		430	:ff33	;
		431	:ff32	;
		432	:ff32	;
		433	:ff52	;
		434	:ff31	;
		435	:ff31	;
		436	:ff11	;
		437	:ff10	;
		438	:ff10	;
		439	:ff10	;
		440	:f70f	;
		441	:ff0f	;
		442	:feee	;
		443	:feee	;
		444	:feee	;
		445	:feed	;
		446	:f6ed	;
		447	:fecc	;
		448	:fecc	;
		449	:feac	;
		450	:f6ab	;
		451	:d5cb	;
		452	:e64b	;
		453	:f68b	;
		454	:f66b	;
		455	:ee4a	;
		456	:ee4b	;
		457	:ee4a	;
		458	:ee4a	;
		459	:ee2a	;
		460	:e60a	;
		461	:e609	;
		462	:e60a	;
		463	:e5ea	;
		464	:e5e9	;
		465	:dde9	;
		466	:ddc9	;
		467	:ddc9	;
		468	:dda9	;
		469	:dda8	;
		470	:d588	;
		471	:d588	;
		472	:d568	;
		473	:d567	;
		474	:d547	;
		475	:cd47	;
		476	:cd27	;
		477	:cd27	;
		478	:cd06	;
		479	:c4e6	;
		480	:c4e6	;
		481	:c4c6	;
		482	:c4c6	;
		483	:c4a5	;
		484	:bca5	;
		485	:bca5	;
		486	:bc85	;
		487	:bc85	;
		488	:bc85	;
		489	:bc64	;
		490	:b444	;
		491	:b464	;
		492	:b464	;
		493	:c4c5	;
		494	:c4e6	;
		495	:bca5	;
		496	:c4a5	;
		497	:93a4	;
		498	:1881	;
		499	:0	;
		500	:0	;
		501	:7304	;
		502	:f687	;
		503	:ee47	;
		504	:ee67	;
		505	:f70d	;
		506	:ff56	;
		507	:ff56	;
		508	:ff55	;
		509	:ff55	;
		510	:ff55	;
		511	:ff55	;
		512	:ff55	;
		513	:ff55	;
		514	:ff55	;
		515	:ff55	;
		516	:ff55	;
		517	:ff55	;
		518	:ff54	;
		519	:ff54	;
		520	:ff54	;
		521	:ff34	;
		522	:ff54	;
		523	:ff34	;
		524	:ff34	;
		525	:ff34	;
		526	:ff54	;
		527	:ff54	;
		528	:ff53	;
		529	:ff33	;
		530	:ff33	;
		531	:ff33	;
		532	:ff32	;
		533	:ff12	;
		534	:ff11	;
		535	:ff11	;
		536	:ff11	;
		537	:ff10	;
		538	:ff10	;
		539	:ff10	;
		540	:ff0f	;
		541	:feef	;
		542	:feef	;
		543	:feee	;
		544	:feee	;
		545	:fecd	;
		546	:feed	;
		547	:fecd	;
		548	:fecc	;
		549	:f6cc	;
		550	:fecc	;
		551	:c56a	;
		552	:acca	;
		553	:feab	;
		554	:ee6b	;
		555	:f66b	;
		556	:ee6b	;
		557	:ee4a	;
		558	:ee4b	;
		559	:ee4a	;
		560	:e62a	;
		561	:e60a	;
		562	:e609	;
		563	:e609	;
		564	:e5e9	;
		565	:e5e9	;
		566	:e5c9	;
		567	:ddc9	;
		568	:dda8	;
		569	:dda8	;
		570	:d588	;
		571	:d588	;
		572	:d588	;
		573	:d567	;
		574	:d567	;
		575	:d547	;
		576	:cd47	;
		577	:cd27	;
		578	:cd06	;
		579	:cd06	;
		580	:cd06	;
		581	:c4e6	;
		582	:c4e6	;
		583	:c4c5	;
		584	:c4c5	;
		585	:c4c5	;
		586	:bca5	;
		587	:bca5	;
		588	:bc85	;
		589	:bc85	;
		590	:bc64	;
		591	:bc64	;
		592	:b444	;
		593	:b444	;
		594	:c4a5	;
		595	:c4c5	;
		596	:bca5	;
		597	:cce5	;
		598	:5a42	;
		599	:0	;
		600	:820	;
		601	:b4a5	;
		602	:e606	;
		603	:e606	;
		604	:ee8a	;
		605	:ff76	;
		606	:ff55	;
		607	:ff55	;
		608	:ff55	;
		609	:ff55	;
		610	:ff55	;
		611	:ff55	;
		612	:ff55	;
		613	:ff55	;
		614	:ff55	;
		615	:ff55	;
		616	:ff55	;
		617	:ff55	;
		618	:ff55	;
		619	:ff54	;
		620	:ff54	;
		621	:ff54	;
		622	:ff54	;
		623	:ff54	;
		624	:ff54	;
		625	:ff34	;
		626	:ff54	;
		627	:ff54	;
		628	:ff34	;
		629	:ff33	;
		630	:ff33	;
		631	:ff33	;
		632	:ff32	;
		633	:ff32	;
		634	:ff32	;
		635	:ff31	;
		636	:ff11	;
		637	:ff11	;
		638	:ff10	;
		639	:ff10	;
		640	:ff10	;
		641	:feef	;
		642	:feef	;
		643	:feef	;
		644	:feee	;
		645	:feee	;
		646	:f6ed	;
		647	:feed	;
		648	:fecd	;
		649	:f6cc	;
		650	:feec	;
		651	:d5cb	;
		652	:83e9	;
		653	:e64b	;
		654	:f6ab	;
		655	:f66b	;
		656	:ee6b	;
		657	:ee6b	;
		658	:ee4a	;
		659	:ee4a	;
		660	:ee2a	;
		661	:e62a	;
		662	:e62a	;
		663	:e5e9	;
		664	:e5e9	;
		665	:e5e9	;
		666	:e5c9	;
		667	:ddc9	;
		668	:ddc9	;
		669	:dda8	;
		670	:d5a8	;
		671	:d588	;
		672	:d588	;
		673	:d568	;
		674	:d568	;
		675	:d547	;
		676	:d547	;
		677	:cd47	;
		678	:cd27	;
		679	:cd27	;
		680	:cd06	;
		681	:cd06	;
		682	:c4e6	;
		683	:c4e6	;
		684	:c4c6	;
		685	:c4c6	;
		686	:c4c5	;
		687	:bca5	;
		688	:bca5	;
		689	:bc85	;
		690	:bc85	;
		691	:bc65	;
		692	:b464	;
		693	:b444	;
		694	:b444	;
		695	:c4e6	;
		696	:c4c6	;
		697	:c4a5	;
		698	:9ba4	;
		699	:21	;
		700	:860	;
		701	:ddc5	;
		702	:d5a5	;
		703	:ddc5	;
		704	:ff33	;
		705	:ff56	;
		706	:ff55	;
		707	:ff55	;
		708	:ff55	;
		709	:ff55	;
		710	:ff55	;
		711	:ff55	;
		712	:ff55	;
		713	:ff55	;
		714	:ff55	;
		715	:ff55	;
		716	:ff55	;
		717	:ff55	;
		718	:ff55	;
		719	:ff55	;
		720	:ff54	;
		721	:ff54	;
		722	:ff54	;
		723	:ff54	;
		724	:ff54	;
		725	:ff54	;
		726	:ff54	;
		727	:ff54	;
		728	:ff34	;
		729	:ff53	;
		730	:ff33	;
		731	:ff33	;
		732	:ff33	;
		733	:ff32	;
		734	:ff32	;
		735	:ff31	;
		736	:ff11	;
		737	:ff11	;
		738	:ff11	;
		739	:ff10	;
		740	:f710	;
		741	:feef	;
		742	:f6ef	;
		743	:feef	;
		744	:feef	;
		745	:feee	;
		746	:f6ce	;
		747	:f6ed	;
		748	:feed	;
		749	:fecd	;
		750	:fecc	;
		751	:ee8c	;
		752	:7349	;
		753	:b4ea	;
		754	:fecc	;
		755	:f68b	;
		756	:f66b	;
		757	:ee6b	;
		758	:ee6b	;
		759	:ee4a	;
		760	:ee4a	;
		761	:ee2a	;
		762	:e62a	;
		763	:e60a	;
		764	:e609	;
		765	:e5e9	;
		766	:e5e9	;
		767	:ddc9	;
		768	:ddc9	;
		769	:ddc9	;
		770	:dda8	;
		771	:dda8	;
		772	:dd88	;
		773	:d588	;
		774	:d568	;
		775	:d568	;
		776	:d547	;
		777	:d547	;
		778	:cd47	;
		779	:cd27	;
		780	:cd26	;
		781	:cd06	;
		782	:cd06	;
		783	:c4e6	;
		784	:c4e6	;
		785	:c4c6	;
		786	:c4c6	;
		787	:c4c5	;
		788	:bca5	;
		789	:bca5	;
		790	:bc85	;
		791	:bc85	;
		792	:bc85	;
		793	:bc64	;
		794	:b444	;
		795	:b464	;
		796	:c4e6	;
		797	:c4c5	;
		798	:c4c6	;
		799	:840	;
		800	:1061	;
		801	:e5c5	;
		802	:d564	;
		803	:e62a	;
		804	:ff76	;
		805	:ff55	;
		806	:ff55	;
		807	:ff55	;
		808	:ff55	;
		809	:ff55	;
		810	:ff55	;
		811	:ff55	;
		812	:ff55	;
		813	:ff55	;
		814	:ff55	;
		815	:ff55	;
		816	:ff55	;
		817	:ff55	;
		818	:ff55	;
		819	:ff55	;
		820	:ff35	;
		821	:ff54	;
		822	:ff34	;
		823	:ff54	;
		824	:ff54	;
		825	:ff54	;
		826	:ff54	;
		827	:ff54	;
		828	:ff34	;
		829	:ff34	;
		830	:ff53	;
		831	:ff33	;
		832	:ff33	;
		833	:ff33	;
		834	:ff32	;
		835	:ff32	;
		836	:ff32	;
		837	:ff11	;
		838	:ff11	;
		839	:ff11	;
		840	:ff11	;
		841	:ff10	;
		842	:fef0	;
		843	:feef	;
		844	:feef	;
		845	:feef	;
		846	:feee	;
		847	:feee	;
		848	:f6cd	;
		849	:feed	;
		850	:fecd	;
		851	:ff2d	;
		852	:8bea	;
		853	:62e9	;
		854	:fecc	;
		855	:f6ab	;
		856	:f68b	;
		857	:ee8b	;
		858	:f66b	;
		859	:ee4a	;
		860	:ee4a	;
		861	:ee4a	;
		862	:ee2a	;
		863	:e62a	;
		864	:e60a	;
		865	:e609	;
		866	:e5e9	;
		867	:e5e9	;
		868	:dde9	;
		869	:ddc9	;
		870	:ddc8	;
		871	:ddc8	;
		872	:dd88	;
		873	:dd88	;
		874	:d588	;
		875	:d568	;
		876	:d568	;
		877	:d547	;
		878	:d547	;
		879	:cd47	;
		880	:cd27	;
		881	:cd27	;
		882	:cd07	;
		883	:cd06	;
		884	:c4e6	;
		885	:c4e6	;
		886	:c4c6	;
		887	:c4c6	;
		888	:c4c5	;
		889	:bca5	;
		890	:bca5	;
		891	:bc85	;
		892	:bc85	;
		893	:bc64	;
		894	:b464	;
		895	:b444	;
		896	:c4c6	;
		897	:c4e6	;
		898	:d546	;
		899	:860	;
		900	:1060	;
		901	:dd84	;
		902	:cd23	;
		903	:eeaf	;
		904	:ff56	;
		905	:ff55	;
		906	:ff55	;
		907	:ff55	;
		908	:ff55	;
		909	:ff55	;
		910	:ff55	;
		911	:ff55	;
		912	:ff55	;
		913	:ff55	;
		914	:ff55	;
		915	:ff55	;
		916	:ff55	;
		917	:ff55	;
		918	:ff55	;
		919	:ff55	;
		920	:ff55	;
		921	:ff55	;
		922	:ff54	;
		923	:ff34	;
		924	:ff34	;
		925	:ff54	;
		926	:ff54	;
		927	:ff54	;
		928	:ff54	;
		929	:ff54	;
		930	:ff34	;
		931	:ff54	;
		932	:ff53	;
		933	:ff33	;
		934	:ff33	;
		935	:ff32	;
		936	:ff32	;
		937	:ff32	;
		938	:ff11	;
		939	:ff11	;
		940	:ff11	;
		941	:ff10	;
		942	:ff10	;
		943	:f6f0	;
		944	:feef	;
		945	:feef	;
		946	:f6ee	;
		947	:feef	;
		948	:feee	;
		949	:feed	;
		950	:fecd	;
		951	:ff0d	;
		952	:acca	;
		953	:31a8	;
		954	:d5eb	;
		955	:fecb	;
		956	:f6ab	;
		957	:ee8b	;
		958	:ee8b	;
		959	:ee6b	;
		960	:ee6b	;
		961	:ee4a	;
		962	:ee4a	;
		963	:e62a	;
		964	:e62a	;
		965	:e62a	;
		966	:e609	;
		967	:e5e9	;
		968	:e5e9	;
		969	:dde9	;
		970	:ddc9	;
		971	:ddc9	;
		972	:dda9	;
		973	:dda8	;
		974	:dd88	;
		975	:d588	;
		976	:d568	;
		977	:d568	;
		978	:d567	;
		979	:d547	;
		980	:cd47	;
		981	:cd27	;
		982	:cd27	;
		983	:cd06	;
		984	:cd06	;
		985	:c4e6	;
		986	:c4e6	;
		987	:c4e6	;
		988	:c4c6	;
		989	:c4c5	;
		990	:bca5	;
		991	:bca5	;
		992	:bc85	;
		993	:bc85	;
		994	:bc65	;
		995	:b464	;
		996	:bca5	;
		997	:cd27	;
		998	:d546	;
		999	:1060	;
		1000	:1060	;
		1001	:d564	;
		1002	:c4e2	;
		1003	:eef1	;
		1004	:ff76	;
		1005	:ff55	;
		1006	:ff55	;
		1007	:ff55	;
		1008	:ff55	;
		1009	:ff55	;
		1010	:ff55	;
		1011	:ff55	;
		1012	:ff55	;
		1013	:ff55	;
		1014	:ff55	;
		1015	:ff55	;
		1016	:ff55	;
		1017	:ff55	;
		1018	:ff55	;
		1019	:ff55	;
		1020	:ff55	;
		1021	:ff55	;
		1022	:ff55	;
		1023	:ff54	;
		1024	:ff34	;
		1025	:ff54	;
		1026	:ff54	;
		1027	:ff54	;
		1028	:ff54	;
		1029	:ff54	;
		1030	:ff34	;
		1031	:ff54	;
		1032	:ff34	;
		1033	:ff53	;
		1034	:ff33	;
		1035	:ff33	;
		1036	:ff32	;
		1037	:ff32	;
		1038	:ff31	;
		1039	:ff31	;
		1040	:ff11	;
		1041	:ff11	;
		1042	:ff10	;
		1043	:ff10	;
		1044	:ff0f	;
		1045	:f6ef	;
		1046	:f6ef	;
		1047	:feef	;
		1048	:feee	;
		1049	:fece	;
		1050	:f6ee	;
		1051	:ff0e	;
		1052	:aceb	;
		1053	:4229	;
		1054	:7b8a	;
		1055	:ff2c	;
		1056	:f6ac	;
		1057	:f68c	;
		1058	:f68b	;
		1059	:f68b	;
		1060	:f66b	;
		1061	:ee6b	;
		1062	:ee4a	;
		1063	:ee4a	;
		1064	:ee4a	;
		1065	:e62a	;
		1066	:e62a	;
		1067	:e609	;
		1068	:e5e9	;
		1069	:e5e9	;
		1070	:dde9	;
		1071	:ddc9	;
		1072	:ddc9	;
		1073	:dda9	;
		1074	:dda8	;
		1075	:dd88	;
		1076	:d588	;
		1077	:d568	;
		1078	:d568	;
		1079	:d567	;
		1080	:cd47	;
		1081	:cd47	;
		1082	:cd27	;
		1083	:cd27	;
		1084	:cd06	;
		1085	:cd06	;
		1086	:c4e6	;
		1087	:c4e6	;
		1088	:c4e6	;
		1089	:c4c6	;
		1090	:c4c5	;
		1091	:bca5	;
		1092	:bca5	;
		1093	:bc85	;
		1094	:bc85	;
		1095	:bc65	;
		1096	:bc85	;
		1097	:cd27	;
		1098	:dd87	;
		1099	:1061	;
		1100	:1060	;
		1101	:cd23	;
		1102	:bcc2	;
		1103	:eed1	;
		1104	:ff56	;
		1105	:ff55	;
		1106	:ff55	;
		1107	:ff55	;
		1108	:ff55	;
		1109	:ff55	;
		1110	:ff55	;
		1111	:ff55	;
		1112	:ff55	;
		1113	:ff55	;
		1114	:ff55	;
		1115	:ff55	;
		1116	:ff55	;
		1117	:ff55	;
		1118	:ff55	;
		1119	:ff55	;
		1120	:ff55	;
		1121	:ff55	;
		1122	:ff55	;
		1123	:ff55	;
		1124	:ff34	;
		1125	:ff54	;
		1126	:ff54	;
		1127	:ff54	;
		1128	:ff54	;
		1129	:ff54	;
		1130	:ff54	;
		1131	:ff54	;
		1132	:ff54	;
		1133	:ff53	;
		1134	:ff33	;
		1135	:ff33	;
		1136	:ff33	;
		1137	:ff32	;
		1138	:ff32	;
		1139	:ff32	;
		1140	:ff11	;
		1141	:ff11	;
		1142	:ff11	;
		1143	:ff10	;
		1144	:ff10	;
		1145	:ff10	;
		1146	:feef	;
		1147	:feef	;
		1148	:feef	;
		1149	:feef	;
		1150	:f6ce	;
		1151	:ff2d	;
		1152	:aceb	;
		1153	:4249	;
		1154	:4a69	;
		1155	:f6ac	;
		1156	:fecc	;
		1157	:feac	;
		1158	:f6ab	;
		1159	:f68b	;
		1160	:f68b	;
		1161	:ee6b	;
		1162	:ee6b	;
		1163	:ee4a	;
		1164	:ee4a	;
		1165	:ee2a	;
		1166	:e62a	;
		1167	:e62a	;
		1168	:e609	;
		1169	:e5e9	;
		1170	:e5e9	;
		1171	:dde9	;
		1172	:e5c9	;
		1173	:ddc9	;
		1174	:dda9	;
		1175	:dd88	;
		1176	:dd88	;
		1177	:d588	;
		1178	:d568	;
		1179	:d568	;
		1180	:d567	;
		1181	:d547	;
		1182	:cd47	;
		1183	:cd27	;
		1184	:cd27	;
		1185	:cd06	;
		1186	:cd06	;
		1187	:c4e6	;
		1188	:c4e6	;
		1189	:c4c6	;
		1190	:c4c6	;
		1191	:c4c5	;
		1192	:c4a5	;
		1193	:bca5	;
		1194	:bc85	;
		1195	:bc85	;
		1196	:bca5	;
		1197	:d568	;
		1198	:dda7	;
		1199	:1060	;
		1200	:1060	;
		1201	:cd02	;
		1202	:bc81	;
		1203	:eed1	;
		1204	:ff76	;
		1205	:ff55	;
		1206	:ff55	;
		1207	:ff55	;
		1208	:ff55	;
		1209	:ff55	;
		1210	:ff55	;
		1211	:ff55	;
		1212	:ff55	;
		1213	:ff55	;
		1214	:ff55	;
		1215	:ff55	;
		1216	:ff55	;
		1217	:ff55	;
		1218	:ff55	;
		1219	:ff55	;
		1220	:ff55	;
		1221	:ff55	;
		1222	:ff55	;
		1223	:ff55	;
		1224	:ff35	;
		1225	:ff54	;
		1226	:ff54	;
		1227	:ff54	;
		1228	:ff34	;
		1229	:ff54	;
		1230	:ff54	;
		1231	:ff54	;
		1232	:ff54	;
		1233	:ff34	;
		1234	:ff33	;
		1235	:ff33	;
		1236	:ff33	;
		1237	:ff33	;
		1238	:ff32	;
		1239	:ff32	;
		1240	:ff31	;
		1241	:ff11	;
		1242	:ff11	;
		1243	:ff11	;
		1244	:ff10	;
		1245	:f710	;
		1246	:ff0f	;
		1247	:f6ef	;
		1248	:f6ef	;
		1249	:feef	;
		1250	:feee	;
		1251	:ff0e	;
		1252	:b50b	;
		1253	:4a48	;
		1254	:4a4a	;
		1255	:bd2b	;
		1256	:ff0c	;
		1257	:f6ac	;
		1258	:f6ac	;
		1259	:f6ab	;
		1260	:f68b	;
		1261	:f68b	;
		1262	:f66b	;
		1263	:ee4a	;
		1264	:ee4a	;
		1265	:ee4a	;
		1266	:ee2a	;
		1267	:e62a	;
		1268	:e62a	;
		1269	:e609	;
		1270	:e5e9	;
		1271	:e5e9	;
		1272	:ddc9	;
		1273	:ddc9	;
		1274	:ddc9	;
		1275	:dda9	;
		1276	:dd88	;
		1277	:dd88	;
		1278	:d588	;
		1279	:d568	;
		1280	:d567	;
		1281	:d567	;
		1282	:d547	;
		1283	:cd47	;
		1284	:cd27	;
		1285	:cd27	;
		1286	:cd06	;
		1287	:cd06	;
		1288	:c4e6	;
		1289	:c4e6	;
		1290	:c4c6	;
		1291	:c4c6	;
		1292	:c4c5	;
		1293	:bca5	;
		1294	:bca5	;
		1295	:bc85	;
		1296	:c4c6	;
		1297	:d588	;
		1298	:e5c8	;
		1299	:1060	;
		1300	:860	;
		1301	:c4c2	;
		1302	:b461	;
		1303	:eed1	;
		1304	:ff56	;
		1305	:ff55	;
		1306	:ff55	;
		1307	:ff55	;
		1308	:ff55	;
		1309	:ff55	;
		1310	:ff55	;
		1311	:ff55	;
		1312	:ff55	;
		1313	:ff55	;
		1314	:ff55	;
		1315	:ff55	;
		1316	:ff55	;
		1317	:ff55	;
		1318	:ff55	;
		1319	:ff55	;
		1320	:ff55	;
		1321	:ff55	;
		1322	:ff55	;
		1323	:ff55	;
		1324	:ff55	;
		1325	:ff55	;
		1326	:ff34	;
		1327	:ff54	;
		1328	:ff54	;
		1329	:ff54	;
		1330	:ff54	;
		1331	:ff54	;
		1332	:ff34	;
		1333	:ff54	;
		1334	:ff34	;
		1335	:ff54	;
		1336	:ff53	;
		1337	:ff33	;
		1338	:ff33	;
		1339	:ff32	;
		1340	:ff32	;
		1341	:ff31	;
		1342	:ff31	;
		1343	:ff11	;
		1344	:ff11	;
		1345	:ff10	;
		1346	:fef0	;
		1347	:fef0	;
		1348	:ff0f	;
		1349	:f6ef	;
		1350	:feee	;
		1351	:ff2f	;
		1352	:a4ab	;
		1353	:4208	;
		1354	:4a4a	;
		1355	:83cb	;
		1356	:ff2d	;
		1357	:fecc	;
		1358	:f6ac	;
		1359	:f6ac	;
		1360	:f68b	;
		1361	:f68b	;
		1362	:ee6b	;
		1363	:ee6b	;
		1364	:ee6b	;
		1365	:ee4a	;
		1366	:ee4a	;
		1367	:ee4a	;
		1368	:e62a	;
		1369	:e629	;
		1370	:e5e9	;
		1371	:e5e9	;
		1372	:e5e9	;
		1373	:ddc9	;
		1374	:ddc9	;
		1375	:ddc9	;
		1376	:dda8	;
		1377	:dda8	;
		1378	:dd88	;
		1379	:d588	;
		1380	:d568	;
		1381	:d568	;
		1382	:d547	;
		1383	:d547	;
		1384	:cd47	;
		1385	:cd27	;
		1386	:cd27	;
		1387	:cd06	;
		1388	:cd06	;
		1389	:c4e6	;
		1390	:c4e6	;
		1391	:c4e6	;
		1392	:c4c5	;
		1393	:bca5	;
		1394	:bca5	;
		1395	:bca5	;
		1396	:c4c6	;
		1397	:ddc8	;
		1398	:ede8	;
		1399	:1060	;
		1400	:840	;
		1401	:c4a1	;
		1402	:b420	;
		1403	:eeb1	;
		1404	:ff76	;
		1405	:ff55	;
		1406	:ff55	;
		1407	:ff55	;
		1408	:ff55	;
		1409	:ff55	;
		1410	:ff55	;
		1411	:ff55	;
		1412	:ff55	;
		1413	:ff55	;
		1414	:ff55	;
		1415	:ff55	;
		1416	:ff55	;
		1417	:ff55	;
		1418	:ff55	;
		1419	:ff55	;
		1420	:ff55	;
		1421	:ff55	;
		1422	:ff55	;
		1423	:ff55	;
		1424	:ff55	;
		1425	:ff55	;
		1426	:ff35	;
		1427	:ff54	;
		1428	:ff54	;
		1429	:ff54	;
		1430	:ff54	;
		1431	:ff54	;
		1432	:ff54	;
		1433	:ff54	;
		1434	:ff34	;
		1435	:ff34	;
		1436	:ff54	;
		1437	:ff33	;
		1438	:ff33	;
		1439	:ff33	;
		1440	:ff32	;
		1441	:ff32	;
		1442	:ff32	;
		1443	:ff11	;
		1444	:ff11	;
		1445	:ff11	;
		1446	:ff11	;
		1447	:ff10	;
		1448	:ff10	;
		1449	:f70f	;
		1450	:feef	;
		1451	:ff2f	;
		1452	:942b	;
		1453	:39e8	;
		1454	:4a6a	;
		1455	:6b2b	;
		1456	:ee8d	;
		1457	:feec	;
		1458	:f6cc	;
		1459	:f6cc	;
		1460	:f6ac	;
		1461	:f6ab	;
		1462	:f68b	;
		1463	:ee8b	;
		1464	:ee6b	;
		1465	:ee6b	;
		1466	:ee4a	;
		1467	:ee4a	;
		1468	:ee4a	;
		1469	:e62a	;
		1470	:e60a	;
		1471	:e609	;
		1472	:e5e9	;
		1473	:e5e9	;
		1474	:dde9	;
		1475	:ddc9	;
		1476	:ddc9	;
		1477	:ddc8	;
		1478	:dda8	;
		1479	:dd88	;
		1480	:d588	;
		1481	:d568	;
		1482	:d568	;
		1483	:d567	;
		1484	:d547	;
		1485	:cd47	;
		1486	:cd27	;
		1487	:cd27	;
		1488	:cd06	;
		1489	:cd06	;
		1490	:c4e6	;
		1491	:c4e6	;
		1492	:c4e6	;
		1493	:c4c6	;
		1494	:c4c5	;
		1495	:bca5	;
		1496	:c4e6	;
		1497	:dde9	;
		1498	:ee29	;
		1499	:1060	;
		1500	:840	;
		1501	:bc60	;
		1502	:ac00	;
		1503	:eeb1	;
		1504	:ff56	;
		1505	:ff55	;
		1506	:ff55	;
		1507	:ff55	;
		1508	:ff55	;
		1509	:ff55	;
		1510	:ff55	;
		1511	:ff55	;
		1512	:ff55	;
		1513	:ff55	;
		1514	:ff55	;
		1515	:ff55	;
		1516	:ff55	;
		1517	:ff55	;
		1518	:ff55	;
		1519	:ff55	;
		1520	:ff55	;
		1521	:ff55	;
		1522	:ff55	;
		1523	:ff55	;
		1524	:ff55	;
		1525	:ff55	;
		1526	:ff55	;
		1527	:ff55	;
		1528	:ff54	;
		1529	:ff54	;
		1530	:ff54	;
		1531	:ff54	;
		1532	:ff54	;
		1533	:ff54	;
		1534	:ff54	;
		1535	:ff34	;
		1536	:ff34	;
		1537	:ff53	;
		1538	:ff33	;
		1539	:ff33	;
		1540	:ff33	;
		1541	:ff32	;
		1542	:ff32	;
		1543	:ff32	;
		1544	:ff11	;
		1545	:ff11	;
		1546	:ff11	;
		1547	:ff10	;
		1548	:ff10	;
		1549	:ff10	;
		1550	:ff0f	;
		1551	:eeaf	;
		1552	:736a	;
		1553	:4208	;
		1554	:4a6a	;
		1555	:5aeb	;
		1556	:cdad	;
		1557	:feed	;
		1558	:f6cc	;
		1559	:fecc	;
		1560	:f6ac	;
		1561	:f6ab	;
		1562	:f6ab	;
		1563	:f68b	;
		1564	:ee8b	;
		1565	:ee6b	;
		1566	:ee4a	;
		1567	:ee4a	;
		1568	:ee4a	;
		1569	:ee2a	;
		1570	:e62a	;
		1571	:e60a	;
		1572	:e609	;
		1573	:e5e9	;
		1574	:e5e9	;
		1575	:dde9	;
		1576	:ddc9	;
		1577	:ddc9	;
		1578	:dda8	;
		1579	:dda8	;
		1580	:dd88	;
		1581	:d588	;
		1582	:d568	;
		1583	:d568	;
		1584	:d547	;
		1585	:d547	;
		1586	:cd47	;
		1587	:cd27	;
		1588	:cd27	;
		1589	:cd07	;
		1590	:cd06	;
		1591	:c4e6	;
		1592	:c4e6	;
		1593	:c4c6	;
		1594	:c4c6	;
		1595	:bca5	;
		1596	:cce6	;
		1597	:e609	;
		1598	:f649	;
		1599	:1060	;
		1600	:840	;
		1601	:bc40	;
		1602	:a3c0	;
		1603	:eeb1	;
		1604	:ff76	;
		1605	:ff55	;
		1606	:ff55	;
		1607	:ff55	;
		1608	:ff55	;
		1609	:ff55	;
		1610	:ff55	;
		1611	:ff55	;
		1612	:ff55	;
		1613	:ff55	;
		1614	:ff55	;
		1615	:ff55	;
		1616	:ff55	;
		1617	:ff55	;
		1618	:ff55	;
		1619	:ff55	;
		1620	:ff55	;
		1621	:ff55	;
		1622	:ff55	;
		1623	:ff55	;
		1624	:ff55	;
		1625	:ff55	;
		1626	:ff55	;
		1627	:ff55	;
		1628	:ff55	;
		1629	:ff54	;
		1630	:ff34	;
		1631	:ff54	;
		1632	:ff54	;
		1633	:ff54	;
		1634	:ff54	;
		1635	:ff54	;
		1636	:ff34	;
		1637	:ff34	;
		1638	:ff53	;
		1639	:ff33	;
		1640	:ff33	;
		1641	:ff33	;
		1642	:ff32	;
		1643	:ff32	;
		1644	:ff32	;
		1645	:ff31	;
		1646	:ff11	;
		1647	:ff11	;
		1648	:ff11	;
		1649	:ff10	;
		1650	:ff10	;
		1651	:de0e	;
		1652	:5aa9	;
		1653	:4228	;
		1654	:5289	;
		1655	:52aa	;
		1656	:b50d	;
		1657	:ff0d	;
		1658	:f6cd	;
		1659	:fecc	;
		1660	:f6ac	;
		1661	:f6ac	;
		1662	:f6ac	;
		1663	:f6ab	;
		1664	:f68b	;
		1665	:ee8b	;
		1666	:ee6b	;
		1667	:ee6b	;
		1668	:ee4a	;
		1669	:ee4a	;
		1670	:ee2a	;
		1671	:e62a	;
		1672	:e60a	;
		1673	:e609	;
		1674	:e5e9	;
		1675	:e5e9	;
		1676	:dde9	;
		1677	:ddc9	;
		1678	:ddc9	;
		1679	:dda9	;
		1680	:dd88	;
		1681	:dd88	;
		1682	:d588	;
		1683	:d568	;
		1684	:d568	;
		1685	:d567	;
		1686	:d547	;
		1687	:cd47	;
		1688	:cd27	;
		1689	:cd27	;
		1690	:cd06	;
		1691	:cd06	;
		1692	:c4e6	;
		1693	:c4e6	;
		1694	:c4c6	;
		1695	:c4c5	;
		1696	:cd06	;
		1697	:e62a	;
		1698	:f66a	;
		1699	:1060	;
		1700	:840	;
		1701	:b400	;
		1702	:a3a0	;
		1703	:ee90	;
		1704	:ff76	;
		1705	:ff55	;
		1706	:ff55	;
		1707	:ff55	;
		1708	:ff55	;
		1709	:ff55	;
		1710	:ff55	;
		1711	:ff55	;
		1712	:ff55	;
		1713	:ff55	;
		1714	:ff55	;
		1715	:ff55	;
		1716	:ff55	;
		1717	:ff55	;
		1718	:ff55	;
		1719	:ff55	;
		1720	:ff55	;
		1721	:ff55	;
		1722	:ff55	;
		1723	:ff55	;
		1724	:ff55	;
		1725	:ff55	;
		1726	:ff55	;
		1727	:ff55	;
		1728	:ff55	;
		1729	:ff55	;
		1730	:ff34	;
		1731	:ff54	;
		1732	:ff54	;
		1733	:ff34	;
		1734	:ff54	;
		1735	:ff54	;
		1736	:ff54	;
		1737	:ff34	;
		1738	:ff34	;
		1739	:ff54	;
		1740	:ff33	;
		1741	:ff33	;
		1742	:ff33	;
		1743	:ff32	;
		1744	:ff32	;
		1745	:ff31	;
		1746	:ff11	;
		1747	:ff11	;
		1748	:ff11	;
		1749	:ff10	;
		1750	:ff30	;
		1751	:b52d	;
		1752	:39c7	;
		1753	:4a28	;
		1754	:528a	;
		1755	:528a	;
		1756	:a4ad	;
		1757	:ff2e	;
		1758	:fecd	;
		1759	:fecd	;
		1760	:f6cc	;
		1761	:fecc	;
		1762	:f6ac	;
		1763	:f6ab	;
		1764	:f6ab	;
		1765	:f68b	;
		1766	:f68b	;
		1767	:ee6b	;
		1768	:ee6b	;
		1769	:ee4a	;
		1770	:ee4a	;
		1771	:ee2a	;
		1772	:e62a	;
		1773	:e60a	;
		1774	:e609	;
		1775	:e5e9	;
		1776	:e5e9	;
		1777	:ddc9	;
		1778	:ddc9	;
		1779	:ddc9	;
		1780	:dda9	;
		1781	:dd88	;
		1782	:dd88	;
		1783	:d588	;
		1784	:d568	;
		1785	:d567	;
		1786	:d567	;
		1787	:cd47	;
		1788	:cd47	;
		1789	:cd27	;
		1790	:cd26	;
		1791	:cd06	;
		1792	:cd06	;
		1793	:c4e6	;
		1794	:c4e6	;
		1795	:c4c6	;
		1796	:cd27	;
		1797	:ee6a	;
		1798	:fe8a	;
		1799	:1060	;
		1800	:840	;
		1801	:abe0	;
		1802	:9b60	;
		1803	:ee90	;
		1804	:ff76	;
		1805	:ff55	;
		1806	:ff55	;
		1807	:ff55	;
		1808	:ff55	;
		1809	:ff55	;
		1810	:ff55	;
		1811	:ff55	;
		1812	:ff55	;
		1813	:ff55	;
		1814	:ff55	;
		1815	:ff55	;
		1816	:ff55	;
		1817	:ff55	;
		1818	:ff55	;
		1819	:ff55	;
		1820	:ff55	;
		1821	:ff55	;
		1822	:ff55	;
		1823	:ff55	;
		1824	:ff55	;
		1825	:ff55	;
		1826	:ff55	;
		1827	:ff55	;
		1828	:ff55	;
		1829	:ff55	;
		1830	:ff35	;
		1831	:ff34	;
		1832	:ff54	;
		1833	:ff54	;
		1834	:ff34	;
		1835	:ff54	;
		1836	:ff54	;
		1837	:ff54	;
		1838	:ff54	;
		1839	:ff34	;
		1840	:ff54	;
		1841	:ff33	;
		1842	:ff33	;
		1843	:ff33	;
		1844	:ff32	;
		1845	:ff32	;
		1846	:ff12	;
		1847	:ff12	;
		1848	:ff31	;
		1849	:ff31	;
		1850	:f6f0	;
		1851	:6309	;
		1852	:39c7	;
		1853	:4a49	;
		1854	:528a	;
		1855	:528a	;
		1856	:942c	;
		1857	:f6ce	;
		1858	:feed	;
		1859	:fecd	;
		1860	:f6cd	;
		1861	:fecc	;
		1862	:f6ac	;
		1863	:f6ac	;
		1864	:f6ac	;
		1865	:f6ab	;
		1866	:f68b	;
		1867	:f68b	;
		1868	:f66b	;
		1869	:ee4a	;
		1870	:ee4a	;
		1871	:ee4a	;
		1872	:e62a	;
		1873	:e62a	;
		1874	:e62a	;
		1875	:e609	;
		1876	:e5e9	;
		1877	:e5e9	;
		1878	:ddc9	;
		1879	:e5c9	;
		1880	:ddc9	;
		1881	:dda9	;
		1882	:dda8	;
		1883	:dd88	;
		1884	:d588	;
		1885	:d568	;
		1886	:d568	;
		1887	:d567	;
		1888	:d547	;
		1889	:cd47	;
		1890	:cd27	;
		1891	:cd27	;
		1892	:cd06	;
		1893	:cd06	;
		1894	:c506	;
		1895	:c4c6	;
		1896	:cd27	;
		1897	:f68b	;
		1898	:feab	;
		1899	:1080	;
		1900	:840	;
		1901	:a3a0	;
		1902	:9340	;
		1903	:e670	;
		1904	:ff76	;
		1905	:ff55	;
		1906	:ff55	;
		1907	:ff55	;
		1908	:ff55	;
		1909	:ff55	;
		1910	:ff55	;
		1911	:ff55	;
		1912	:ff55	;
		1913	:ff55	;
		1914	:ff55	;
		1915	:ff55	;
		1916	:ff55	;
		1917	:ff55	;
		1918	:ff55	;
		1919	:ff55	;
		1920	:ff55	;
		1921	:ff55	;
		1922	:ff55	;
		1923	:ff55	;
		1924	:ff55	;
		1925	:ff55	;
		1926	:ff55	;
		1927	:ff55	;
		1928	:ff55	;
		1929	:ff55	;
		1930	:ff55	;
		1931	:ff55	;
		1932	:ff54	;
		1933	:ff54	;
		1934	:ff54	;
		1935	:ff54	;
		1936	:ff54	;
		1937	:ff54	;
		1938	:ff54	;
		1939	:ff34	;
		1940	:ff54	;
		1941	:ff54	;
		1942	:ff53	;
		1943	:ff33	;
		1944	:ff33	;
		1945	:ff32	;
		1946	:ff31	;
		1947	:ff32	;
		1948	:ff11	;
		1949	:ff52	;
		1950	:c56e	;
		1951	:2966	;
		1952	:4208	;
		1953	:4229	;
		1954	:528a	;
		1955	:52aa	;
		1956	:8c0c	;
		1957	:f6ce	;
		1958	:feee	;
		1959	:feed	;
		1960	:feed	;
		1961	:fecd	;
		1962	:fecc	;
		1963	:f6cc	;
		1964	:f6cc	;
		1965	:f6ac	;
		1966	:f6ab	;
		1967	:f68b	;
		1968	:f68b	;
		1969	:ee6b	;
		1970	:ee6b	;
		1971	:ee4a	;
		1972	:ee4a	;
		1973	:ee2a	;
		1974	:e62a	;
		1975	:e60a	;
		1976	:e609	;
		1977	:e5e9	;
		1978	:e5e9	;
		1979	:dde9	;
		1980	:ddc9	;
		1981	:ddc9	;
		1982	:dda9	;
		1983	:dda8	;
		1984	:dd88	;
		1985	:d588	;
		1986	:d568	;
		1987	:d567	;
		1988	:d567	;
		1989	:d547	;
		1990	:cd47	;
		1991	:cd27	;
		1992	:cd27	;
		1993	:cd06	;
		1994	:cd06	;
		1995	:c4e6	;
		1996	:d547	;
		1997	:f6ab	;
		1998	:feeb	;
		1999	:1080	;
		2000	:840	;
		2001	:a380	;
		2002	:9300	;
		2003	:e670	;
		2004	:ff76	;
		2005	:ff55	;
		2006	:ff55	;
		2007	:ff55	;
		2008	:ff55	;
		2009	:ff55	;
		2010	:ff55	;
		2011	:ff55	;
		2012	:ff55	;
		2013	:ff55	;
		2014	:ff55	;
		2015	:ff55	;
		2016	:ff55	;
		2017	:ff55	;
		2018	:ff55	;
		2019	:ff55	;
		2020	:ff55	;
		2021	:ff55	;
		2022	:ff55	;
		2023	:ff55	;
		2024	:ff55	;
		2025	:ff55	;
		2026	:ff55	;
		2027	:ff55	;
		2028	:ff55	;
		2029	:ff55	;
		2030	:ff55	;
		2031	:ff55	;
		2032	:ff55	;
		2033	:ff34	;
		2034	:ff54	;
		2035	:ff54	;
		2036	:ff54	;
		2037	:ff54	;
		2038	:ff54	;
		2039	:ff54	;
		2040	:ff54	;
		2041	:ff54	;
		2042	:ff33	;
		2043	:ff53	;
		2044	:ff33	;
		2045	:ff33	;
		2046	:ff32	;
		2047	:ff32	;
		2048	:ff32	;
		2049	:ff52	;
		2050	:4227	;
		2051	:31a7	;
		2052	:4208	;
		2053	:4a49	;
		2054	:528a	;
		2055	:528a	;
		2056	:8c2c	;
		2057	:f6ce	;
		2058	:feee	;
		2059	:feee	;
		2060	:feed	;
		2061	:feed	;
		2062	:fecd	;
		2063	:f6cc	;
		2064	:f6cc	;
		2065	:fecc	;
		2066	:f6ac	;
		2067	:f68b	;
		2068	:f68b	;
		2069	:ee8b	;
		2070	:ee6b	;
		2071	:ee6b	;
		2072	:ee4a	;
		2073	:ee4a	;
		2074	:ee4a	;
		2075	:e62a	;
		2076	:e609	;
		2077	:e609	;
		2078	:e5e9	;
		2079	:e5e9	;
		2080	:ddc9	;
		2081	:ddc9	;
		2082	:ddc9	;
		2083	:dda8	;
		2084	:dda8	;
		2085	:dd88	;
		2086	:d588	;
		2087	:d568	;
		2088	:d568	;
		2089	:d547	;
		2090	:d547	;
		2091	:cd47	;
		2092	:cd27	;
		2093	:cd27	;
		2094	:cd06	;
		2095	:c4e6	;
		2096	:d547	;
		2097	:f6cc	;
		2098	:ff0c	;
		2099	:1080	;
		2100	:840	;
		2101	:b422	;
		2102	:8ac0	;
		2103	:e670	;
		2104	:ff76	;
		2105	:ff55	;
		2106	:ff55	;
		2107	:ff55	;
		2108	:ff55	;
		2109	:ff55	;
		2110	:ff55	;
		2111	:ff55	;
		2112	:ff55	;
		2113	:ff55	;
		2114	:ff55	;
		2115	:ff55	;
		2116	:ff55	;
		2117	:ff55	;
		2118	:ff55	;
		2119	:ff55	;
		2120	:ff55	;
		2121	:ff55	;
		2122	:ff55	;
		2123	:ff55	;
		2124	:ff55	;
		2125	:ff55	;
		2126	:ff55	;
		2127	:ff55	;
		2128	:ff55	;
		2129	:ff55	;
		2130	:ff55	;
		2131	:ff55	;
		2132	:ff55	;
		2133	:ff35	;
		2134	:ff54	;
		2135	:ff54	;
		2136	:ff54	;
		2137	:ff54	;
		2138	:ff54	;
		2139	:ff54	;
		2140	:ff34	;
		2141	:ff34	;
		2142	:ff54	;
		2143	:ff53	;
		2144	:ff53	;
		2145	:ff33	;
		2146	:ff33	;
		2147	:ff33	;
		2148	:ff93	;
		2149	:8c2b	;
		2150	:2125	;
		2151	:39e7	;
		2152	:4208	;
		2153	:4a49	;
		2154	:5289	;
		2155	:528a	;
		2156	:8c2c	;
		2157	:f6cf	;
		2158	:feef	;
		2159	:feee	;
		2160	:feee	;
		2161	:fecd	;
		2162	:fecd	;
		2163	:fecd	;
		2164	:fecc	;
		2165	:f6cc	;
		2166	:f6ac	;
		2167	:f6ab	;
		2168	:f6ab	;
		2169	:f68b	;
		2170	:ee8b	;
		2171	:ee6b	;
		2172	:ee4a	;
		2173	:ee4a	;
		2174	:ee4a	;
		2175	:ee2a	;
		2176	:e62a	;
		2177	:e62a	;
		2178	:e609	;
		2179	:e5e9	;
		2180	:e5e9	;
		2181	:dde9	;
		2182	:ddc9	;
		2183	:ddc9	;
		2184	:dda8	;
		2185	:dda8	;
		2186	:dd88	;
		2187	:d588	;
		2188	:d568	;
		2189	:d568	;
		2190	:d547	;
		2191	:d547	;
		2192	:cd47	;
		2193	:cd27	;
		2194	:cd27	;
		2195	:cd06	;
		2196	:d568	;
		2197	:fecc	;
		2198	:ff2c	;
		2199	:1081	;
		2200	:1081	;
		2201	:d567	;
		2202	:8b00	;
		2203	:e650	;
		2204	:ff76	;
		2205	:ff55	;
		2206	:ff55	;
		2207	:ff55	;
		2208	:ff55	;
		2209	:ff55	;
		2210	:ff55	;
		2211	:ff55	;
		2212	:ff55	;
		2213	:ff55	;
		2214	:ff55	;
		2215	:ff55	;
		2216	:ff55	;
		2217	:ff55	;
		2218	:ff55	;
		2219	:ff55	;
		2220	:ff55	;
		2221	:ff55	;
		2222	:ff55	;
		2223	:ff55	;
		2224	:ff55	;
		2225	:ff55	;
		2226	:ff55	;
		2227	:ff55	;
		2228	:ff55	;
		2229	:ff55	;
		2230	:ff55	;
		2231	:ff55	;
		2232	:ff55	;
		2233	:ff55	;
		2234	:ff55	;
		2235	:ff54	;
		2236	:ff34	;
		2237	:ff34	;
		2238	:ff54	;
		2239	:ff34	;
		2240	:ff34	;
		2241	:ff34	;
		2242	:ff54	;
		2243	:ff34	;
		2244	:ff54	;
		2245	:ff33	;
		2246	:ff53	;
		2247	:ffb3	;
		2248	:a4cd	;
		2249	:2945	;
		2250	:31a6	;
		2251	:39c7	;
		2252	:4208	;
		2253	:4229	;
		2254	:528a	;
		2255	:528a	;
		2256	:8c2d	;
		2257	:f6cf	;
		2258	:feef	;
		2259	:feef	;
		2260	:feee	;
		2261	:f6ee	;
		2262	:fecd	;
		2263	:feed	;
		2264	:fecd	;
		2265	:fecc	;
		2266	:f6ac	;
		2267	:f6ac	;
		2268	:f6ab	;
		2269	:f6ab	;
		2270	:f68b	;
		2271	:ee6b	;
		2272	:ee6b	;
		2273	:ee4a	;
		2274	:ee4a	;
		2275	:ee4a	;
		2276	:e62a	;
		2277	:e62a	;
		2278	:e60a	;
		2279	:e609	;
		2280	:e5e9	;
		2281	:e5e9	;
		2282	:ddc9	;
		2283	:ddc9	;
		2284	:ddc8	;
		2285	:dda8	;
		2286	:dd88	;
		2287	:d588	;
		2288	:d588	;
		2289	:d568	;
		2290	:d568	;
		2291	:d547	;
		2292	:d547	;
		2293	:cd47	;
		2294	:cd27	;
		2295	:cd07	;
		2296	:d568	;
		2297	:fecc	;
		2298	:ff6d	;
		2299	:1081	;
		2300	:10a1	;
		2301	:f68c	;
		2302	:b443	;
		2303	:e690	;
		2304	:ff75	;
		2305	:ff55	;
		2306	:ff55	;
		2307	:ff55	;
		2308	:ff55	;
		2309	:ff55	;
		2310	:ff55	;
		2311	:ff55	;
		2312	:ff55	;
		2313	:ff55	;
		2314	:ff55	;
		2315	:ff55	;
		2316	:ff55	;
		2317	:ff55	;
		2318	:ff55	;
		2319	:ff55	;
		2320	:ff55	;
		2321	:ff55	;
		2322	:ff55	;
		2323	:ff55	;
		2324	:ff55	;
		2325	:ff55	;
		2326	:ff55	;
		2327	:ff55	;
		2328	:ff55	;
		2329	:ff55	;
		2330	:ff55	;
		2331	:ff55	;
		2332	:ff55	;
		2333	:ff55	;
		2334	:ff55	;
		2335	:ff55	;
		2336	:ff54	;
		2337	:ff34	;
		2338	:ff34	;
		2339	:ff54	;
		2340	:ff54	;
		2341	:ff54	;
		2342	:ff34	;
		2343	:ff54	;
		2344	:ff53	;
		2345	:ff54	;
		2346	:ff74	;
		2347	:a4ce	;
		2348	:2945	;
		2349	:2945	;
		2350	:31a6	;
		2351	:39e7	;
		2352	:4208	;
		2353	:4a49	;
		2354	:5289	;
		2355	:528b	;
		2356	:8c2c	;
		2357	:f6ef	;
		2358	:ff0f	;
		2359	:feef	;
		2360	:feef	;
		2361	:feee	;
		2362	:f6ee	;
		2363	:feed	;
		2364	:feed	;
		2365	:feed	;
		2366	:fecc	;
		2367	:f6cc	;
		2368	:f6cc	;
		2369	:f6ac	;
		2370	:f6ab	;
		2371	:f68b	;
		2372	:f68b	;
		2373	:f66b	;
		2374	:ee6b	;
		2375	:ee4a	;
		2376	:ee4a	;
		2377	:ee4a	;
		2378	:e62a	;
		2379	:e62a	;
		2380	:e609	;
		2381	:e5e9	;
		2382	:e5e9	;
		2383	:dde9	;
		2384	:ddc9	;
		2385	:ddc9	;
		2386	:dda9	;
		2387	:dda8	;
		2388	:dd88	;
		2389	:d588	;
		2390	:d568	;
		2391	:d568	;
		2392	:d567	;
		2393	:d547	;
		2394	:cd47	;
		2395	:cd27	;
		2396	:d568	;
		2397	:f6ac	;
		2398	:ff6d	;
		2399	:1081	;
		2400	:10a1	;
		2401	:ff2e	;
		2402	:d568	;
		2403	:eed1	;
		2404	:ff75	;
		2405	:ff55	;
		2406	:ff55	;
		2407	:ff55	;
		2408	:ff55	;
		2409	:ff55	;
		2410	:ff55	;
		2411	:ff55	;
		2412	:ff55	;
		2413	:ff55	;
		2414	:ff55	;
		2415	:ff55	;
		2416	:ff55	;
		2417	:ff55	;
		2418	:ff55	;
		2419	:ff55	;
		2420	:ff55	;
		2421	:ff55	;
		2422	:ff55	;
		2423	:ff55	;
		2424	:ff55	;
		2425	:ff55	;
		2426	:ff55	;
		2427	:ff55	;
		2428	:ff55	;
		2429	:ff55	;
		2430	:ff55	;
		2431	:ff55	;
		2432	:ff55	;
		2433	:ff55	;
		2434	:ff55	;
		2435	:ff55	;
		2436	:ff55	;
		2437	:ff34	;
		2438	:ff54	;
		2439	:ff55	;
		2440	:ff54	;
		2441	:ff54	;
		2442	:ff54	;
		2443	:ff54	;
		2444	:ff54	;
		2445	:ff74	;
		2446	:946c	;
		2447	:2945	;
		2448	:2124	;
		2449	:2966	;
		2450	:31a6	;
		2451	:39e7	;
		2452	:4208	;
		2453	:4228	;
		2454	:528a	;
		2455	:4a8a	;
		2456	:a4ad	;
		2457	:ff51	;
		2458	:ff10	;
		2459	:f6ef	;
		2460	:feef	;
		2461	:feef	;
		2462	:feee	;
		2463	:feee	;
		2464	:feed	;
		2465	:fecd	;
		2466	:fecd	;
		2467	:f6cc	;
		2468	:feac	;
		2469	:f6ac	;
		2470	:f6ab	;
		2471	:f6ac	;
		2472	:f68b	;
		2473	:f68b	;
		2474	:f66b	;
		2475	:ee6b	;
		2476	:ee4a	;
		2477	:ee4a	;
		2478	:ee2a	;
		2479	:e62a	;
		2480	:e60a	;
		2481	:e609	;
		2482	:e5e9	;
		2483	:e5e9	;
		2484	:ddc9	;
		2485	:ddc9	;
		2486	:ddc9	;
		2487	:dda9	;
		2488	:dda8	;
		2489	:dd88	;
		2490	:d588	;
		2491	:d568	;
		2492	:d568	;
		2493	:d547	;
		2494	:d547	;
		2495	:cd27	;
		2496	:d568	;
		2497	:f68b	;
		2498	:ff4d	;
		2499	:1081	;
		2500	:1081	;
		2501	:ff6f	;
		2502	:e62b	;
		2503	:f6f2	;
		2504	:ff55	;
		2505	:ff55	;
		2506	:ff55	;
		2507	:ff55	;
		2508	:ff55	;
		2509	:ff55	;
		2510	:ff55	;
		2511	:ff55	;
		2512	:ff55	;
		2513	:ff55	;
		2514	:ff55	;
		2515	:ff55	;
		2516	:ff55	;
		2517	:ff55	;
		2518	:ff55	;
		2519	:ff55	;
		2520	:ff55	;
		2521	:ff55	;
		2522	:ff55	;
		2523	:ff55	;
		2524	:ff55	;
		2525	:ff55	;
		2526	:ff55	;
		2527	:ff55	;
		2528	:ff55	;
		2529	:ff55	;
		2530	:ff55	;
		2531	:ff55	;
		2532	:ff55	;
		2533	:ff55	;
		2534	:ff55	;
		2535	:ff55	;
		2536	:ff55	;
		2537	:ff35	;
		2538	:ff34	;
		2539	:ff54	;
		2540	:ff54	;
		2541	:ff54	;
		2542	:ff54	;
		2543	:ff75	;
		2544	:ff74	;
		2545	:734a	;
		2546	:18c3	;
		2547	:2104	;
		2548	:2945	;
		2549	:2965	;
		2550	:31a6	;
		2551	:39c7	;
		2552	:4208	;
		2553	:4a49	;
		2554	:4a8a	;
		2555	:52aa	;
		2556	:b50e	;
		2557	:ff51	;
		2558	:ff10	;
		2559	:fef0	;
		2560	:f6ef	;
		2561	:feef	;
		2562	:feef	;
		2563	:feee	;
		2564	:feee	;
		2565	:feed	;
		2566	:fecd	;
		2567	:fecd	;
		2568	:fecc	;
		2569	:f6ac	;
		2570	:f6cc	;
		2571	:f6ac	;
		2572	:f6ab	;
		2573	:f68b	;
		2574	:f68b	;
		2575	:ee6b	;
		2576	:ee4a	;
		2577	:ee4a	;
		2578	:ee4a	;
		2579	:ee2a	;
		2580	:e62a	;
		2581	:e60a	;
		2582	:e609	;
		2583	:e5e9	;
		2584	:e5e9	;
		2585	:ddc9	;
		2586	:ddc9	;
		2587	:ddc9	;
		2588	:dda9	;
		2589	:dd88	;
		2590	:dd88	;
		2591	:d588	;
		2592	:d568	;
		2593	:d568	;
		2594	:d567	;
		2595	:cd47	;
		2596	:d568	;
		2597	:ee4b	;
		2598	:ff2c	;
		2599	:1081	;
		2600	:1081	;
		2601	:ff90	;
		2602	:ee8c	;
		2603	:f713	;
		2604	:ff55	;
		2605	:ff55	;
		2606	:ff55	;
		2607	:ff55	;
		2608	:ff55	;
		2609	:ff55	;
		2610	:ff55	;
		2611	:ff55	;
		2612	:ff55	;
		2613	:ff55	;
		2614	:ff55	;
		2615	:ff55	;
		2616	:ff55	;
		2617	:ff55	;
		2618	:ff55	;
		2619	:ff55	;
		2620	:ff55	;
		2621	:ff55	;
		2622	:ff55	;
		2623	:ff55	;
		2624	:ff55	;
		2625	:ff55	;
		2626	:ff55	;
		2627	:ff55	;
		2628	:ff55	;
		2629	:ff55	;
		2630	:ff55	;
		2631	:ff55	;
		2632	:ff55	;
		2633	:ff55	;
		2634	:ff55	;
		2635	:ff55	;
		2636	:ff55	;
		2637	:ff55	;
		2638	:ff55	;
		2639	:ff54	;
		2640	:ff34	;
		2641	:ff55	;
		2642	:ffb6	;
		2643	:eed3	;
		2644	:5267	;
		2645	:1082	;
		2646	:1904	;
		2647	:2124	;
		2648	:2945	;
		2649	:2965	;
		2650	:31a6	;
		2651	:39c7	;
		2652	:4208	;
		2653	:4249	;
		2654	:4a69	;
		2655	:630b	;
		2656	:d5f0	;
		2657	:ff31	;
		2658	:ff10	;
		2659	:ff10	;
		2660	:fef0	;
		2661	:f70f	;
		2662	:feef	;
		2663	:feef	;
		2664	:f6ee	;
		2665	:feee	;
		2666	:fece	;
		2667	:fecd	;
		2668	:fecd	;
		2669	:fecd	;
		2670	:f6cc	;
		2671	:f6ac	;
		2672	:f6ac	;
		2673	:f6ab	;
		2674	:f68b	;
		2675	:ee6b	;
		2676	:ee6b	;
		2677	:ee6b	;
		2678	:ee4a	;
		2679	:ee4a	;
		2680	:ee2a	;
		2681	:e62a	;
		2682	:e60a	;
		2683	:e609	;
		2684	:e5e9	;
		2685	:e5e9	;
		2686	:ddc9	;
		2687	:ddc9	;
		2688	:ddc9	;
		2689	:dda8	;
		2690	:dda8	;
		2691	:dd88	;
		2692	:d588	;
		2693	:d568	;
		2694	:d568	;
		2695	:d547	;
		2696	:d568	;
		2697	:ee2a	;
		2698	:ff0c	;
		2699	:1081	;
		2700	:1081	;
		2701	:ff90	;
		2702	:f6cd	;
		2703	:ff12	;
		2704	:ff54	;
		2705	:ff54	;
		2706	:ff55	;
		2707	:ff55	;
		2708	:ff55	;
		2709	:ff55	;
		2710	:ff55	;
		2711	:ff55	;
		2712	:ff55	;
		2713	:ff55	;
		2714	:ff55	;
		2715	:ff55	;
		2716	:ff55	;
		2717	:ff55	;
		2718	:ff55	;
		2719	:ff55	;
		2720	:ff55	;
		2721	:ff55	;
		2722	:ff55	;
		2723	:ff55	;
		2724	:ff55	;
		2725	:ff55	;
		2726	:ff55	;
		2727	:ff55	;
		2728	:ff55	;
		2729	:ff55	;
		2730	:ff55	;
		2731	:ff55	;
		2732	:ff55	;
		2733	:ff55	;
		2734	:ff55	;
		2735	:ff55	;
		2736	:ff55	;
		2737	:ff55	;
		2738	:ff55	;
		2739	:ff35	;
		2740	:ff74	;
		2741	:ff95	;
		2742	:bd90	;
		2743	:2103	;
		2744	:1082	;
		2745	:18c3	;
		2746	:2104	;
		2747	:2124	;
		2748	:2945	;
		2749	:2965	;
		2750	:31a6	;
		2751	:39e7	;
		2752	:4208	;
		2753	:4a29	;
		2754	:4a6a	;
		2755	:6b6b	;
		2756	:f711	;
		2757	:ff11	;
		2758	:ff11	;
		2759	:ff10	;
		2760	:f6f0	;
		2761	:f70f	;
		2762	:feef	;
		2763	:feef	;
		2764	:feef	;
		2765	:f6ee	;
		2766	:feee	;
		2767	:fecd	;
		2768	:feed	;
		2769	:fecd	;
		2770	:f6cc	;
		2771	:fecc	;
		2772	:f6cc	;
		2773	:f6ab	;
		2774	:f6ab	;
		2775	:f68b	;
		2776	:ee8b	;
		2777	:ee6b	;
		2778	:ee6b	;
		2779	:ee4a	;
		2780	:ee4a	;
		2781	:ee4a	;
		2782	:e62a	;
		2783	:e62a	;
		2784	:e609	;
		2785	:e5e9	;
		2786	:e5e9	;
		2787	:dde9	;
		2788	:ddc9	;
		2789	:ddc9	;
		2790	:ddc8	;
		2791	:dda8	;
		2792	:dd88	;
		2793	:d588	;
		2794	:d588	;
		2795	:d568	;
		2796	:d568	;
		2797	:e60a	;
		2798	:fecb	;
		2799	:1080	;
		2800	:1081	;
		2801	:ffb0	;
		2802	:f6cd	;
		2803	:ff33	;
		2804	:ff54	;
		2805	:ff34	;
		2806	:ff54	;
		2807	:ff55	;
		2808	:ff55	;
		2809	:ff55	;
		2810	:ff55	;
		2811	:ff55	;
		2812	:ff55	;
		2813	:ff55	;
		2814	:ff55	;
		2815	:ff55	;
		2816	:ff55	;
		2817	:ff55	;
		2818	:ff55	;
		2819	:ff55	;
		2820	:ff55	;
		2821	:ff55	;
		2822	:ff55	;
		2823	:ff55	;
		2824	:ff55	;
		2825	:ff55	;
		2826	:ff55	;
		2827	:ff55	;
		2828	:ff55	;
		2829	:ff55	;
		2830	:ff55	;
		2831	:ff55	;
		2832	:ff55	;
		2833	:ff55	;
		2834	:ff55	;
		2835	:ff55	;
		2836	:ff55	;
		2837	:ff55	;
		2838	:ff55	;
		2839	:ff75	;
		2840	:ff75	;
		2841	:944d	;
		2842	:841	;
		2843	:1082	;
		2844	:18c3	;
		2845	:18e3	;
		2846	:2104	;
		2847	:2124	;
		2848	:2945	;
		2849	:2965	;
		2850	:31a6	;
		2851	:39c7	;
		2852	:4208	;
		2853	:4a49	;
		2854	:4a69	;
		2855	:a4ce	;
		2856	:ff72	;
		2857	:ff11	;
		2858	:ff11	;
		2859	:ff11	;
		2860	:ff10	;
		2861	:ff10	;
		2862	:f6f0	;
		2863	:feef	;
		2864	:feef	;
		2865	:feef	;
		2866	:f6ef	;
		2867	:f6ee	;
		2868	:f6ee	;
		2869	:fecd	;
		2870	:fecd	;
		2871	:f6cd	;
		2872	:fecc	;
		2873	:f6ac	;
		2874	:f6ac	;
		2875	:f6ab	;
		2876	:f68b	;
		2877	:ee8b	;
		2878	:ee6b	;
		2879	:ee6b	;
		2880	:ee4a	;
		2881	:ee4a	;
		2882	:ee2a	;
		2883	:e62a	;
		2884	:e60a	;
		2885	:e609	;
		2886	:e5e9	;
		2887	:e5e9	;
		2888	:dde9	;
		2889	:ddc9	;
		2890	:ddc9	;
		2891	:dda8	;
		2892	:dda8	;
		2893	:dd88	;
		2894	:d588	;
		2895	:d568	;
		2896	:d568	;
		2897	:ddc9	;
		2898	:feab	;
		2899	:1080	;
		2900	:10a1	;
		2901	:ff90	;
		2902	:f6ee	;
		2903	:f732	;
		2904	:ff54	;
		2905	:ff54	;
		2906	:ff54	;
		2907	:ff54	;
		2908	:ff54	;
		2909	:ff55	;
		2910	:ff55	;
		2911	:ff55	;
		2912	:ff55	;
		2913	:ff55	;
		2914	:ff55	;
		2915	:ff55	;
		2916	:ff55	;
		2917	:ff55	;
		2918	:ff55	;
		2919	:ff55	;
		2920	:ff55	;
		2921	:ff55	;
		2922	:ff55	;
		2923	:ff55	;
		2924	:ff55	;
		2925	:ff55	;
		2926	:ff55	;
		2927	:ff55	;
		2928	:ff55	;
		2929	:ff55	;
		2930	:ff55	;
		2931	:ff55	;
		2932	:ff55	;
		2933	:ff55	;
		2934	:ff55	;
		2935	:ff55	;
		2936	:ff55	;
		2937	:ff55	;
		2938	:ff96	;
		2939	:eed4	;
		2940	:83cb	;
		2941	:0	;
		2942	:1082	;
		2943	:18c2	;
		2944	:18c3	;
		2945	:18e3	;
		2946	:2104	;
		2947	:2124	;
		2948	:2945	;
		2949	:2965	;
		2950	:31a6	;
		2951	:39c7	;
		2952	:4208	;
		2953	:4228	;
		2954	:5289	;
		2955	:f6f2	;
		2956	:ff32	;
		2957	:ff11	;
		2958	:ff11	;
		2959	:ff11	;
		2960	:ff11	;
		2961	:ff10	;
		2962	:ff10	;
		2963	:fef0	;
		2964	:feef	;
		2965	:feee	;
		2966	:eed3	;
		2967	:f6f1	;
		2968	:feee	;
		2969	:feed	;
		2970	:fecd	;
		2971	:fecd	;
		2972	:fecc	;
		2973	:f6ac	;
		2974	:f6ac	;
		2975	:f6ab	;
		2976	:f6ab	;
		2977	:f68b	;
		2978	:ee6b	;
		2979	:f66b	;
		2980	:ee6a	;
		2981	:ee4a	;
		2982	:ee4a	;
		2983	:ee4a	;
		2984	:e62a	;
		2985	:e62a	;
		2986	:e609	;
		2987	:e5e9	;
		2988	:e5e9	;
		2989	:ddc9	;
		2990	:ddc9	;
		2991	:ddc9	;
		2992	:dda9	;
		2993	:dd88	;
		2994	:dd88	;
		2995	:d588	;
		2996	:d588	;
		2997	:dda9	;
		2998	:f66a	;
		2999	:1060	;
		3000	:1081	;
		3001	:ff4e	;
		3002	:f6ee	;
		3003	:ff32	;
		3004	:ff33	;
		3005	:ff54	;
		3006	:ff34	;
		3007	:ff54	;
		3008	:ff54	;
		3009	:ff54	;
		3010	:ff55	;
		3011	:ff55	;
		3012	:ff55	;
		3013	:ff55	;
		3014	:ff55	;
		3015	:ff55	;
		3016	:ff55	;
		3017	:ff55	;
		3018	:ff55	;
		3019	:ff55	;
		3020	:ff55	;
		3021	:ff55	;
		3022	:ff55	;
		3023	:ff55	;
		3024	:ff55	;
		3025	:ff55	;
		3026	:ff55	;
		3027	:ff55	;
		3028	:ff55	;
		3029	:ff55	;
		3030	:ff55	;
		3031	:ff55	;
		3032	:ff55	;
		3033	:ff55	;
		3034	:ff55	;
		3035	:ff55	;
		3036	:ff55	;
		3037	:ff96	;
		3038	:f714	;
		3039	:5aa8	;
		3040	:21	;
		3041	:882	;
		3042	:10a2	;
		3043	:10c2	;
		3044	:18c3	;
		3045	:18e3	;
		3046	:2104	;
		3047	:2124	;
		3048	:2945	;
		3049	:2965	;
		3050	:31a6	;
		3051	:39c7	;
		3052	:4208	;
		3053	:39e8	;
		3054	:946e	;
		3055	:ff93	;
		3056	:ff32	;
		3057	:ff32	;
		3058	:ff12	;
		3059	:ff11	;
		3060	:ff11	;
		3061	:ff11	;
		3062	:ff10	;
		3063	:ff10	;
		3064	:feef	;
		3065	:ff0f	;
		3066	:e6b5	;
		3067	:e6d9	;
		3068	:feed	;
		3069	:feee	;
		3070	:feed	;
		3071	:feed	;
		3072	:fecd	;
		3073	:fecc	;
		3074	:f6cc	;
		3075	:f6ac	;
		3076	:f6ab	;
		3077	:f6ab	;
		3078	:f68b	;
		3079	:f68b	;
		3080	:ee6b	;
		3081	:ee6b	;
		3082	:ee4a	;
		3083	:ee4a	;
		3084	:ee2a	;
		3085	:e62a	;
		3086	:e62a	;
		3087	:e609	;
		3088	:e5e9	;
		3089	:e5e9	;
		3090	:ddc9	;
		3091	:ddc9	;
		3092	:dda9	;
		3093	:dda8	;
		3094	:dda8	;
		3095	:d588	;
		3096	:d588	;
		3097	:d568	;
		3098	:f64a	;
		3099	:1060	;
		3100	:1060	;
		3101	:fecd	;
		3102	:eead	;
		3103	:ff32	;
		3104	:ff53	;
		3105	:ff53	;
		3106	:ff53	;
		3107	:ff54	;
		3108	:ff54	;
		3109	:ff54	;
		3110	:ff55	;
		3111	:ff55	;
		3112	:ff55	;
		3113	:ff55	;
		3114	:ff55	;
		3115	:ff55	;
		3116	:ff55	;
		3117	:ff55	;
		3118	:ff55	;
		3119	:ff55	;
		3120	:ff55	;
		3121	:ff55	;
		3122	:ff55	;
		3123	:ff55	;
		3124	:ff55	;
		3125	:ff55	;
		3126	:ff55	;
		3127	:ff55	;
		3128	:ff55	;
		3129	:ff55	;
		3130	:ff55	;
		3131	:ff55	;
		3132	:ff55	;
		3133	:ff55	;
		3134	:ff55	;
		3135	:ff55	;
		3136	:ff96	;
		3137	:eed3	;
		3138	:41c5	;
		3139	:20	;
		3140	:881	;
		3141	:1082	;
		3142	:1082	;
		3143	:10c3	;
		3144	:18c3	;
		3145	:18e3	;
		3146	:2104	;
		3147	:2124	;
		3148	:2945	;
		3149	:2965	;
		3150	:31a6	;
		3151	:39c7	;
		3152	:39e7	;
		3153	:5269	;
		3154	:f733	;
		3155	:ff53	;
		3156	:ff33	;
		3157	:ff33	;
		3158	:ff12	;
		3159	:ff32	;
		3160	:ff11	;
		3161	:ff11	;
		3162	:ff11	;
		3163	:ff10	;
		3164	:ff10	;
		3165	:ff0f	;
		3166	:deb6	;
		3167	:dedd	;
		3168	:f712	;
		3169	:feee	;
		3170	:f6ee	;
		3171	:f6ee	;
		3172	:fecd	;
		3173	:f6cd	;
		3174	:fecc	;
		3175	:f6ac	;
		3176	:f6ac	;
		3177	:f6ab	;
		3178	:f68b	;
		3179	:f68b	;
		3180	:f68b	;
		3181	:f66b	;
		3182	:ee4a	;
		3183	:ee4a	;
		3184	:ee4a	;
		3185	:e62a	;
		3186	:e62a	;
		3187	:e609	;
		3188	:e609	;
		3189	:e5e9	;
		3190	:e5e9	;
		3191	:ddc9	;
		3192	:e5c9	;
		3193	:ddc9	;
		3194	:ddc9	;
		3195	:dd88	;
		3196	:d588	;
		3197	:d547	;
		3198	:ee29	;
		3199	:1060	;
		3200	:1060	;
		3201	:ee6b	;
		3202	:e64c	;
		3203	:f711	;
		3204	:ff33	;
		3205	:ff53	;
		3206	:ff33	;
		3207	:ff34	;
		3208	:ff54	;
		3209	:ff54	;
		3210	:ff54	;
		3211	:ff55	;
		3212	:ff55	;
		3213	:ff55	;
		3214	:ff55	;
		3215	:ff55	;
		3216	:ff55	;
		3217	:ff55	;
		3218	:ff55	;
		3219	:ff55	;
		3220	:ff55	;
		3221	:ff55	;
		3222	:ff55	;
		3223	:ff55	;
		3224	:ff55	;
		3225	:ff55	;
		3226	:ff55	;
		3227	:ff55	;
		3228	:ff55	;
		3229	:ff55	;
		3230	:ff55	;
		3231	:ff55	;
		3232	:ff55	;
		3233	:ff55	;
		3234	:ff55	;
		3235	:ff96	;
		3236	:e6b3	;
		3237	:18c2	;
		3238	:0	;
		3239	:861	;
		3240	:861	;
		3241	:1082	;
		3242	:10a2	;
		3243	:10a2	;
		3244	:18c3	;
		3245	:18e4	;
		3246	:2104	;
		3247	:2124	;
		3248	:2945	;
		3249	:2965	;
		3250	:31a6	;
		3251	:31a7	;
		3252	:4228	;
		3253	:d611	;
		3254	:ff74	;
		3255	:ff33	;
		3256	:ff33	;
		3257	:ff33	;
		3258	:ff32	;
		3259	:ff32	;
		3260	:ff12	;
		3261	:ff11	;
		3262	:ff11	;
		3263	:ff11	;
		3264	:ff10	;
		3265	:ff0f	;
		3266	:deb6	;
		3267	:d6fc	;
		3268	:ef59	;
		3269	:feed	;
		3270	:feee	;
		3271	:feee	;
		3272	:feed	;
		3273	:feed	;
		3274	:fecd	;
		3275	:fecc	;
		3276	:fecc	;
		3277	:f6cc	;
		3278	:f6ac	;
		3279	:f6ab	;
		3280	:f68b	;
		3281	:f68b	;
		3282	:ee6b	;
		3283	:ee6b	;
		3284	:ee4a	;
		3285	:ee4a	;
		3286	:ee2a	;
		3287	:e62a	;
		3288	:e62a	;
		3289	:e609	;
		3290	:e5e9	;
		3291	:e5e9	;
		3292	:e5c9	;
		3293	:ddc9	;
		3294	:ddc9	;
		3295	:ddc9	;
		3296	:d588	;
		3297	:cd27	;
		3298	:ede8	;
		3299	:1060	;
		3300	:1060	;
		3301	:e60a	;
		3302	:ddca	;
		3303	:f6f0	;
		3304	:ff33	;
		3305	:ff33	;
		3306	:ff33	;
		3307	:ff33	;
		3308	:ff34	;
		3309	:ff54	;
		3310	:ff54	;
		3311	:ff54	;
		3312	:ff55	;
		3313	:ff55	;
		3314	:ff55	;
		3315	:ff55	;
		3316	:ff55	;
		3317	:ff55	;
		3318	:ff55	;
		3319	:ff55	;
		3320	:ff55	;
		3321	:ff55	;
		3322	:ff55	;
		3323	:ff55	;
		3324	:ff55	;
		3325	:ff55	;
		3326	:ff55	;
		3327	:ff55	;
		3328	:ff55	;
		3329	:ff55	;
		3330	:ff55	;
		3331	:ff55	;
		3332	:ff55	;
		3333	:ff55	;
		3334	:ff96	;
		3335	:ff35	;
		3336	:2103	;
		3337	:0	;
		3338	:861	;
		3339	:861	;
		3340	:1082	;
		3341	:1082	;
		3342	:10a2	;
		3343	:18c3	;
		3344	:18c3	;
		3345	:18e3	;
		3346	:2104	;
		3347	:2124	;
		3348	:2945	;
		3349	:2965	;
		3350	:2966	;
		3351	:4228	;
		3352	:bd90	;
		3353	:ff74	;
		3354	:ff54	;
		3355	:ff34	;
		3356	:ff53	;
		3357	:ff33	;
		3358	:ff13	;
		3359	:ff32	;
		3360	:ff32	;
		3361	:ff31	;
		3362	:ff11	;
		3363	:ff11	;
		3364	:ff11	;
		3365	:f710	;
		3366	:deb7	;
		3367	:dedc	;
		3368	:ef7d	;
		3369	:feee	;
		3370	:feee	;
		3371	:feee	;
		3372	:feee	;
		3373	:feed	;
		3374	:feed	;
		3375	:fecd	;
		3376	:f6cc	;
		3377	:f6cc	;
		3378	:f6cc	;
		3379	:f6ac	;
		3380	:f6ac	;
		3381	:f68b	;
		3382	:ee8b	;
		3383	:ee6b	;
		3384	:ee6b	;
		3385	:ee4a	;
		3386	:ee4a	;
		3387	:ee2a	;
		3388	:e62a	;
		3389	:e60a	;
		3390	:e609	;
		3391	:e5e9	;
		3392	:e5e9	;
		3393	:dde9	;
		3394	:ddc9	;
		3395	:ddc8	;
		3396	:d588	;
		3397	:c4e6	;
		3398	:e5c8	;
		3399	:1080	;
		3400	:1060	;
		3401	:e5ea	;
		3402	:d589	;
		3403	:f6d0	;
		3404	:ff32	;
		3405	:ff33	;
		3406	:ff33	;
		3407	:ff33	;
		3408	:ff33	;
		3409	:ff54	;
		3410	:ff54	;
		3411	:ff54	;
		3412	:ff54	;
		3413	:ff55	;
		3414	:ff55	;
		3415	:ff55	;
		3416	:ff55	;
		3417	:ff55	;
		3418	:ff55	;
		3419	:ff55	;
		3420	:ff55	;
		3421	:ff55	;
		3422	:ff55	;
		3423	:ff55	;
		3424	:ff55	;
		3425	:ff55	;
		3426	:ff55	;
		3427	:ff55	;
		3428	:ff55	;
		3429	:ff55	;
		3430	:ff55	;
		3431	:ff55	;
		3432	:ff55	;
		3433	:ff76	;
		3434	:f714	;
		3435	:5aa8	;
		3436	:0	;
		3437	:841	;
		3438	:861	;
		3439	:861	;
		3440	:861	;
		3441	:1082	;
		3442	:10a2	;
		3443	:18c3	;
		3444	:18c3	;
		3445	:18e3	;
		3446	:2104	;
		3447	:2124	;
		3448	:2945	;
		3449	:2145	;
		3450	:4228	;
		3451	:cdf1	;
		3452	:ff54	;
		3453	:ff54	;
		3454	:ff34	;
		3455	:ff34	;
		3456	:ff53	;
		3457	:ff33	;
		3458	:ff33	;
		3459	:ff33	;
		3460	:ff32	;
		3461	:ff32	;
		3462	:ff12	;
		3463	:ff11	;
		3464	:ff30	;
		3465	:f6f1	;
		3466	:deb8	;
		3467	:dedc	;
		3468	:ef7c	;
		3469	:ff13	;
		3470	:f6ee	;
		3471	:feef	;
		3472	:feee	;
		3473	:feee	;
		3474	:feed	;
		3475	:fecd	;
		3476	:fecd	;
		3477	:fecc	;
		3478	:f6cc	;
		3479	:f6cc	;
		3480	:f6ab	;
		3481	:f6ab	;
		3482	:f68b	;
		3483	:ee8b	;
		3484	:ee6b	;
		3485	:ee6b	;
		3486	:ee4a	;
		3487	:ee4a	;
		3488	:ee2a	;
		3489	:e62a	;
		3490	:e60a	;
		3491	:e609	;
		3492	:e5e9	;
		3493	:e5e9	;
		3494	:dde9	;
		3495	:e5e9	;
		3496	:d588	;
		3497	:c4c5	;
		3498	:dd87	;
		3499	:1060	;
		3500	:1060	;
		3501	:dda9	;
		3502	:cd48	;
		3503	:eeb0	;
		3504	:ff32	;
		3505	:ff32	;
		3506	:ff32	;
		3507	:ff33	;
		3508	:ff33	;
		3509	:ff33	;
		3510	:ff54	;
		3511	:ff54	;
		3512	:ff54	;
		3513	:ff54	;
		3514	:ff55	;
		3515	:ff55	;
		3516	:ff55	;
		3517	:ff55	;
		3518	:ff55	;
		3519	:ff55	;
		3520	:ff55	;
		3521	:ff55	;
		3522	:ff55	;
		3523	:ff55	;
		3524	:ff55	;
		3525	:ff55	;
		3526	:ff55	;
		3527	:ff55	;
		3528	:ff55	;
		3529	:ff55	;
		3530	:ff55	;
		3531	:ff55	;
		3532	:ff55	;
		3533	:ff96	;
		3534	:7bab	;
		3535	:0	;
		3536	:20	;
		3537	:841	;
		3538	:841	;
		3539	:861	;
		3540	:861	;
		3541	:1082	;
		3542	:10a2	;
		3543	:18c3	;
		3544	:18c3	;
		3545	:18e3	;
		3546	:2104	;
		3547	:2124	;
		3548	:2124	;
		3549	:2945	;
		3550	:e6d3	;
		3551	:ff95	;
		3552	:ffb5	;
		3553	:e692	;
		3554	:ff54	;
		3555	:ff34	;
		3556	:ff54	;
		3557	:ff34	;
		3558	:ff53	;
		3559	:ff33	;
		3560	:ff33	;
		3561	:ff32	;
		3562	:ff12	;
		3563	:ff31	;
		3564	:ff31	;
		3565	:eef3	;
		3566	:d698	;
		3567	:dedc	;
		3568	:ef7d	;
		3569	:ff56	;
		3570	:f6ef	;
		3571	:feef	;
		3572	:feef	;
		3573	:feee	;
		3574	:feee	;
		3575	:fecd	;
		3576	:fecd	;
		3577	:fecd	;
		3578	:fecc	;
		3579	:f6cc	;
		3580	:f6ac	;
		3581	:f6ab	;
		3582	:f6ab	;
		3583	:f68b	;
		3584	:ee6b	;
		3585	:ee6b	;
		3586	:ee4a	;
		3587	:ee4a	;
		3588	:ee4a	;
		3589	:ee2a	;
		3590	:e62a	;
		3591	:e60a	;
		3592	:e609	;
		3593	:e5e9	;
		3594	:e5e9	;
		3595	:e5e9	;
		3596	:d588	;
		3597	:bca5	;
		3598	:dd67	;
		3599	:1060	;
		3600	:1060	;
		3601	:dd89	;
		3602	:c508	;
		3603	:eeaf	;
		3604	:ff32	;
		3605	:ff12	;
		3606	:ff32	;
		3607	:ff32	;
		3608	:ff33	;
		3609	:ff33	;
		3610	:ff33	;
		3611	:ff54	;
		3612	:ff54	;
		3613	:ff54	;
		3614	:ff54	;
		3615	:ff54	;
		3616	:ff55	;
		3617	:ff55	;
		3618	:ff55	;
		3619	:ff55	;
		3620	:ff55	;
		3621	:ff55	;
		3622	:ff55	;
		3623	:ff55	;
		3624	:ff55	;
		3625	:ff55	;
		3626	:ff55	;
		3627	:ff55	;
		3628	:ff55	;
		3629	:ff55	;
		3630	:ff55	;
		3631	:ff55	;
		3632	:ff96	;
		3633	:b54f	;
		3634	:21	;
		3635	:0	;
		3636	:40	;
		3637	:841	;
		3638	:841	;
		3639	:861	;
		3640	:1061	;
		3641	:1082	;
		3642	:10a2	;
		3643	:18c3	;
		3644	:18c3	;
		3645	:18e3	;
		3646	:2104	;
		3647	:2104	;
		3648	:2945	;
		3649	:de92	;
		3650	:ff96	;
		3651	:ff95	;
		3652	:b54f	;
		3653	:83cc	;
		3654	:ff54	;
		3655	:ff54	;
		3656	:ff54	;
		3657	:ff34	;
		3658	:ff34	;
		3659	:ff33	;
		3660	:ff33	;
		3661	:ff33	;
		3662	:ff32	;
		3663	:f732	;
		3664	:ff12	;
		3665	:eed4	;
		3666	:ce79	;
		3667	:defb	;
		3668	:ef7e	;
		3669	:ff78	;
		3670	:feef	;
		3671	:feef	;
		3672	:feef	;
		3673	:feef	;
		3674	:f6ee	;
		3675	:f6ee	;
		3676	:fecd	;
		3677	:fecd	;
		3678	:feed	;
		3679	:fecc	;
		3680	:feac	;
		3681	:f6cc	;
		3682	:f6ab	;
		3683	:f6ab	;
		3684	:f68b	;
		3685	:f68b	;
		3686	:f66b	;
		3687	:ee6b	;
		3688	:ee4a	;
		3689	:ee4a	;
		3690	:ee4a	;
		3691	:e62a	;
		3692	:e60a	;
		3693	:e609	;
		3694	:e5e9	;
		3695	:e5e9	;
		3696	:d588	;
		3697	:bc64	;
		3698	:d526	;
		3699	:1060	;
		3700	:860	;
		3701	:d568	;
		3702	:c4e7	;
		3703	:ee8f	;
		3704	:ff31	;
		3705	:ff31	;
		3706	:ff32	;
		3707	:ff32	;
		3708	:ff32	;
		3709	:ff33	;
		3710	:ff33	;
		3711	:ff53	;
		3712	:ff34	;
		3713	:ff54	;
		3714	:ff54	;
		3715	:ff54	;
		3716	:ff55	;
		3717	:ff55	;
		3718	:ff55	;
		3719	:ff55	;
		3720	:ff55	;
		3721	:ff55	;
		3722	:ff55	;
		3723	:ff55	;
		3724	:ff55	;
		3725	:ff55	;
		3726	:ff55	;
		3727	:ff55	;
		3728	:ff55	;
		3729	:ff55	;
		3730	:ff55	;
		3731	:ff75	;
		3732	:f714	;
		3733	:41e6	;
		3734	:0	;
		3735	:20	;
		3736	:840	;
		3737	:861	;
		3738	:861	;
		3739	:861	;
		3740	:881	;
		3741	:1082	;
		3742	:10a2	;
		3743	:18c3	;
		3744	:18c3	;
		3745	:18e3	;
		3746	:2104	;
		3747	:1904	;
		3748	:ad0f	;
		3749	:ff95	;
		3750	:ff75	;
		3751	:de52	;
		3752	:5269	;
		3753	:83ed	;
		3754	:ff54	;
		3755	:ff34	;
		3756	:ff54	;
		3757	:ff54	;
		3758	:ff34	;
		3759	:ff54	;
		3760	:ff33	;
		3761	:ff33	;
		3762	:ff33	;
		3763	:f732	;
		3764	:ff32	;
		3765	:de95	;
		3766	:ce5a	;
		3767	:defb	;
		3768	:ef7e	;
		3769	:ff9a	;
		3770	:feef	;
		3771	:ff10	;
		3772	:f70f	;
		3773	:feef	;
		3774	:feef	;
		3775	:feee	;
		3776	:f6ee	;
		3777	:feed	;
		3778	:feed	;
		3779	:fecd	;
		3780	:fecc	;
		3781	:feac	;
		3782	:f6ac	;
		3783	:f6ac	;
		3784	:f6ac	;
		3785	:f68b	;
		3786	:f68b	;
		3787	:f66b	;
		3788	:ee6b	;
		3789	:ee4a	;
		3790	:ee4a	;
		3791	:ee4a	;
		3792	:e62a	;
		3793	:e609	;
		3794	:e609	;
		3795	:e609	;
		3796	:d588	;
		3797	:b424	;
		3798	:cd05	;
		3799	:860	;
		3800	:860	;
		3801	:d568	;
		3802	:bcc7	;
		3803	:ee8f	;
		3804	:ff31	;
		3805	:ff11	;
		3806	:ff11	;
		3807	:ff32	;
		3808	:ff32	;
		3809	:ff32	;
		3810	:ff33	;
		3811	:ff33	;
		3812	:ff33	;
		3813	:ff34	;
		3814	:ff34	;
		3815	:ff54	;
		3816	:ff54	;
		3817	:ff55	;
		3818	:ff55	;
		3819	:ff55	;
		3820	:ff55	;
		3821	:ff55	;
		3822	:ff55	;
		3823	:ff55	;
		3824	:ff55	;
		3825	:ff55	;
		3826	:ff55	;
		3827	:ff55	;
		3828	:ff55	;
		3829	:ff55	;
		3830	:ff55	;
		3831	:ff96	;
		3832	:ad0f	;
		3833	:0	;
		3834	:20	;
		3835	:21	;
		3836	:841	;
		3837	:841	;
		3838	:841	;
		3839	:861	;
		3840	:882	;
		3841	:1082	;
		3842	:10a2	;
		3843	:18c3	;
		3844	:18c3	;
		3845	:18e3	;
		3846	:18c3	;
		3847	:5ac9	;
		3848	:f714	;
		3849	:ff75	;
		3850	:ff35	;
		3851	:7bac	;
		3852	:2986	;
		3853	:a4ce	;
		3854	:ff74	;
		3855	:ff35	;
		3856	:ff54	;
		3857	:ff34	;
		3858	:ff54	;
		3859	:ff34	;
		3860	:ff34	;
		3861	:ff33	;
		3862	:ff33	;
		3863	:ff32	;
		3864	:f733	;
		3865	:ce57	;
		3866	:ce79	;
		3867	:defb	;
		3868	:ef7e	;
		3869	:ff9b	;
		3870	:feef	;
		3871	:fef0	;
		3872	:fef0	;
		3873	:f6ef	;
		3874	:feef	;
		3875	:feef	;
		3876	:feee	;
		3877	:feee	;
		3878	:feed	;
		3879	:feed	;
		3880	:fecd	;
		3881	:fecc	;
		3882	:f6ac	;
		3883	:f6cc	;
		3884	:f6ab	;
		3885	:f68b	;
		3886	:f68b	;
		3887	:f68b	;
		3888	:ee6b	;
		3889	:ee4a	;
		3890	:ee4a	;
		3891	:ee4a	;
		3892	:ee2a	;
		3893	:e62a	;
		3894	:e62a	;
		3895	:e609	;
		3896	:d588	;
		3897	:ac03	;
		3898	:cce5	;
		3899	:860	;
		3900	:860	;
		3901	:d568	;
		3902	:bcc7	;
		3903	:ee8e	;
		3904	:ff11	;
		3905	:ff11	;
		3906	:ff11	;
		3907	:ff11	;
		3908	:ff32	;
		3909	:ff32	;
		3910	:ff32	;
		3911	:ff33	;
		3912	:ff33	;
		3913	:ff33	;
		3914	:ff34	;
		3915	:ff54	;
		3916	:ff54	;
		3917	:ff54	;
		3918	:ff55	;
		3919	:ff55	;
		3920	:ff55	;
		3921	:ff55	;
		3922	:ff55	;
		3923	:ff55	;
		3924	:ff55	;
		3925	:ff55	;
		3926	:ff55	;
		3927	:ff55	;
		3928	:ff55	;
		3929	:ff55	;
		3930	:ff55	;
		3931	:ffd6	;
		3932	:39e5	;
		3933	:0	;
		3934	:20	;
		3935	:20	;
		3936	:841	;
		3937	:841	;
		3938	:841	;
		3939	:861	;
		3940	:1082	;
		3941	:1082	;
		3942	:10a2	;
		3943	:18c3	;
		3944	:18c3	;
		3945	:18e3	;
		3946	:2104	;
		3947	:a4ce	;
		3948	:ffb6	;
		3949	:ff76	;
		3950	:c5f1	;
		3951	:3186	;
		3952	:31c7	;
		3953	:840d	;
		3954	:ff74	;
		3955	:ff54	;
		3956	:ff54	;
		3957	:ff34	;
		3958	:ff34	;
		3959	:ff54	;
		3960	:ff53	;
		3961	:ff34	;
		3962	:ff33	;
		3963	:ff33	;
		3964	:eed4	;
		3965	:bdf8	;
		3966	:ce79	;
		3967	:dedc	;
		3968	:ef7e	;
		3969	:ff9b	;
		3970	:ff0f	;
		3971	:ff11	;
		3972	:ff10	;
		3973	:ff10	;
		3974	:f6ef	;
		3975	:feef	;
		3976	:feef	;
		3977	:feee	;
		3978	:feee	;
		3979	:feed	;
		3980	:fecd	;
		3981	:feed	;
		3982	:fecc	;
		3983	:f6cc	;
		3984	:f6cc	;
		3985	:f6ac	;
		3986	:f6ab	;
		3987	:f68b	;
		3988	:ee8b	;
		3989	:ee6b	;
		3990	:ee6b	;
		3991	:ee4a	;
		3992	:ee4a	;
		3993	:ee2a	;
		3994	:e62a	;
		3995	:e60a	;
		3996	:dd88	;
		3997	:b403	;
		3998	:c4a4	;
		3999	:860	;
		4000	:860	;
		4001	:d548	;
		4002	:bca7	;
		4003	:ee8e	;
		4004	:ff11	;
		4005	:ff10	;
		4006	:ff11	;
		4007	:ff11	;
		4008	:ff11	;
		4009	:ff32	;
		4010	:ff32	;
		4011	:ff32	;
		4012	:ff33	;
		4013	:ff33	;
		4014	:ff33	;
		4015	:ff54	;
		4016	:ff54	;
		4017	:ff54	;
		4018	:ff54	;
		4019	:ff55	;
		4020	:ff55	;
		4021	:ff55	;
		4022	:ff55	;
		4023	:ff55	;
		4024	:ff55	;
		4025	:ff55	;
		4026	:ff55	;
		4027	:ff55	;
		4028	:ff55	;
		4029	:ff55	;
		4030	:ff55	;
		4031	:ff96	;
		4032	:0	;
		4033	:20	;
		4034	:20	;
		4035	:41	;
		4036	:840	;
		4037	:861	;
		4038	:841	;
		4039	:861	;
		4040	:1082	;
		4041	:1082	;
		4042	:10a2	;
		4043	:18c3	;
		4044	:18c3	;
		4045	:18c3	;
		4046	:39a6	;
		4047	:d632	;
		4048	:ff95	;
		4049	:ffd6	;
		4050	:62ea	;
		4051	:31a6	;
		4052	:39e7	;
		4053	:736b	;
		4054	:ff55	;
		4055	:ff54	;
		4056	:ff34	;
		4057	:ff54	;
		4058	:ff34	;
		4059	:ff34	;
		4060	:ff54	;
		4061	:ff54	;
		4062	:ff53	;
		4063	:ff53	;
		4064	:c615	;
		4065	:bdf8	;
		4066	:ce79	;
		4067	:dedb	;
		4068	:ef7e	;
		4069	:ff9b	;
		4070	:ff10	;
		4071	:ff11	;
		4072	:ff10	;
		4073	:f6f0	;
		4074	:feef	;
		4075	:feef	;
		4076	:feef	;
		4077	:feef	;
		4078	:f6ee	;
		4079	:feee	;
		4080	:fecd	;
		4081	:feed	;
		4082	:fecd	;
		4083	:f6cc	;
		4084	:fecc	;
		4085	:f6ac	;
		4086	:f6ab	;
		4087	:f6ab	;
		4088	:f68b	;
		4089	:ee6b	;
		4090	:ee6b	;
		4091	:ee6b	;
		4092	:ee4a	;
		4093	:ee4a	;
		4094	:ee2a	;
		4095	:ee2a	;
		4096	:dda8	;
		4097	:b424	;
		4098	:c4a4	;
		4099	:840	;
		4100	:860	;
		4101	:d548	;
		4102	:bca7	;
		4103	:ee8d	;
		4104	:ff10	;
		4105	:ff10	;
		4106	:ff10	;
		4107	:ff11	;
		4108	:ff11	;
		4109	:ff11	;
		4110	:ff32	;
		4111	:ff32	;
		4112	:ff32	;
		4113	:ff33	;
		4114	:ff33	;
		4115	:ff33	;
		4116	:ff34	;
		4117	:ff54	;
		4118	:ff54	;
		4119	:ff54	;
		4120	:ff55	;
		4121	:ff55	;
		4122	:ff55	;
		4123	:ff55	;
		4124	:ff55	;
		4125	:ff55	;
		4126	:ff55	;
		4127	:ff55	;
		4128	:ff55	;
		4129	:ff55	;
		4130	:ffb6	;
		4131	:946c	;
		4132	:0	;
		4133	:0	;
		4134	:820	;
		4135	:20	;
		4136	:821	;
		4137	:841	;
		4138	:841	;
		4139	:861	;
		4140	:881	;
		4141	:1082	;
		4142	:10a2	;
		4143	:18c3	;
		4144	:18c3	;
		4145	:10c3	;
		4146	:4a27	;
		4147	:ff55	;
		4148	:ff76	;
		4149	:ff55	;
		4150	:18c4	;
		4151	:39c7	;
		4152	:4208	;
		4153	:3a08	;
		4154	:ff34	;
		4155	:ff75	;
		4156	:ff54	;
		4157	:ff54	;
		4158	:ff54	;
		4159	:ff54	;
		4160	:ff54	;
		4161	:ff34	;
		4162	:ff54	;
		4163	:de94	;
		4164	:ad76	;
		4165	:c618	;
		4166	:ce79	;
		4167	:dedb	;
		4168	:ef7e	;
		4169	:ff9a	;
		4170	:ff10	;
		4171	:ff11	;
		4172	:ff11	;
		4173	:ff10	;
		4174	:ff10	;
		4175	:f6f0	;
		4176	:feef	;
		4177	:feef	;
		4178	:feef	;
		4179	:feee	;
		4180	:f6ee	;
		4181	:feed	;
		4182	:feed	;
		4183	:fecd	;
		4184	:fecc	;
		4185	:fecc	;
		4186	:f6ac	;
		4187	:f6ac	;
		4188	:f6ab	;
		4189	:f68b	;
		4190	:ee6b	;
		4191	:ee6b	;
		4192	:ee6b	;
		4193	:ee4a	;
		4194	:ee4a	;
		4195	:ee4a	;
		4196	:ddc8	;
		4197	:b423	;
		4198	:c4a4	;
		4199	:840	;
		4200	:860	;
		4201	:d548	;
		4202	:bca7	;
		4203	:ee8d	;
		4204	:ff10	;
		4205	:ff10	;
		4206	:ff10	;
		4207	:ff10	;
		4208	:ff11	;
		4209	:ff11	;
		4210	:ff31	;
		4211	:ff32	;
		4212	:ff32	;
		4213	:ff32	;
		4214	:ff33	;
		4215	:ff33	;
		4216	:ff33	;
		4217	:ff34	;
		4218	:ff54	;
		4219	:ff54	;
		4220	:ff54	;
		4221	:ff55	;
		4222	:ff5

以上是关于FPGA的学习:基于ROM的VGA图像显示(弹跳特效)的主要内容,如果未能解决你的问题,请参考以下文章

FPGA的学习:基于ROM的VGA图像显示

FPGA的学习:基于ROM的VGA图像显示

FPGA综合实验 05 - | VGA彩条信号显示控制电路设计

基于FPGA驱动VGA显示图片的小问题

基于FPGA的VGA显示静态图片

FPGA的学习:基于RS232的VGA图像处理