vivado fir ipcore 进制位宽小数位宽的问题

Posted 者乎之类的

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了vivado fir ipcore 进制位宽小数位宽的问题相关的知识,希望对你有一定的参考价值。

在这里插入图片描述

第一个数0001c2的由来:
输入数据A6(-0.707),8位有符号数
滤波器系数第一个-5,14位有符号数
先将两乘数得22位,扩展为24位再相乘
为什么是24位?AXI总线结构必须以字节为单位
在这里插入图片描述

如果是扩展到22位的话是c001c2(如下图) ,所以应该不是扩展到22位
在这里插入图片描述

ps:这里我设置的输入数据小数位为0,即A6(10100110)表示-90,
-90*-5=450 (1c2)是正确的。
但是如果我设置小数位为7位,结果是一样的,还是1c2
在这里插入图片描述

你把vivado 显示调整成7位小数,就变成:3.515625约等于0.7075
在这里插入图片描述
在这里插入图片描述
再ps:
0.707
5=3.535
化成二进制:11.100010再化成十进制:3.53125,怎么跟3.515625不一样呢?
猜测是(肯定是)0.707用二进制表示后由于舍入误差不再是0.707
验证一下:
-0.707化成二进制10100110,化成十进制:
1.0100110 取反加一得 0.1011010表示0.703125
0.703125*5=3.515625
对上了!!!!!!!!!!!!!牛皮

第二个数00005a是输入a6和第二个滤波器系数-1(十进制)相乘的结果

总结:
下面这个填几位小数没用,关键看你怎么看待这个数
在这里插入图片描述
这是正确的显示:
在这里插入图片描述
再补充:在这里插入图片描述

以上是关于vivado fir ipcore 进制位宽小数位宽的问题的主要内容,如果未能解决你的问题,请参考以下文章

FPGA教程案例14基于vivado核的FIR滤波器设计与实现

vivado 错误怎么改

zc706调试ddr3只能用vivado吗

为啥我在vivado生成不了 ddr3 ip核

vivado中debug怎么调试

Xilinx Vivado的使用详细介绍:使用IP核