QuartusII和NiosII,FPGA板,不知道它们之间的联系,以及各个工具的具体功能和使用方法?

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了QuartusII和NiosII,FPGA板,不知道它们之间的联系,以及各个工具的具体功能和使用方法?相关的知识,希望对你有一定的参考价值。

十万火急!!!还望大家不吝指教!!不胜感激!!
开发软件是什么意思?是在QuartusII上模拟系统开发,运行正确以及验证之后,把系统移植到FPGA板上吗?

先说它们分别是什么:
QuartusII是Altera的软件,用来开发FPGA和CPLD的,就像keil用来开发51单片机一样
NiosII是一个32位处理器软核,就像51一样是一个单片机,只不过不是像51单片机那样的硬件实物,而是由硬件描述语言构成的一个软核,配置到FPGA里面就能当单片机用了
FPGA板当然是指的上面有FPGA的一块电路板啦,一般是学习版开发板什么的,供学习开发用,好比51开发板
它们之间的联系是:
QuartusII是Altera公司生产的专门针对他们公司生产的FPGA和CPLD的开发软件,NiosII也是他们公司2004年推出的一个32位软核,它的软件开发工具是NiosII IDE,硬件配置工具是SOPC Builder(这个工具在QuartusII软件里面集成了,在QuartusII的Tool里面能看到)
它们的联系具体表现在基于NiosII的开发过程:
1、一般开发NiosII的过程是先通过SOPC Builder配置一个拥有特定外设NiosII(这步生成的是VHDL或Verilog文件)
2、然后在QuartusII里面综合,配置,分配引脚,(这步可以说是生成了特定的硬件NiosII的CPU了,其实是一个FPGA的配置网表文件)
3、然后在NiosII IDE里面为上面生成的NiosIICPU编写软件,编译,连接,调试什么的
4、上面的都完成后,就可以将第二步生成的FPGA配置文件下到FPGA开发板上的FPGA里面了(这里终于用到FPGA板了,汗!),然后再通过NiosII IDE将它的软件下到RAM或flash里面,这样就可以运行了
其实上面的开发过程类似于对51的开发过程,只是51直接从第三步开始,并且不用下载硬件配置文件,直接上软件而已

至于各个工具的具体使用就不是这样能说清楚的了,自己到网上去下教程吧
参考技术A FPGA叫现场可编程门阵列,属于可编程逻辑器件,就是一块芯片.FPGA板就是以这块FPGA芯片为核心,加上外围电路以实现不同功能的电路板.QuartusII是Atera公司针对其生产的FPGA、CPLD、HardCopy等器件的一款开发软件,包括软件平台和硬件平台,其特色是构建NIOS CPU,NiosII用来为NIOS CPU构建软件系统。
这样说吧,FPGA是半定制集成电路,就需要你根据你自己的需求来定制它所完成的功能,大概过程就是通过原理图或硬件描述语言输入,然后编译、综合,然后下载配置文件到FPGA,这个过程就可以通过QuartusII来完成。你了解一下FPGA的开发流程就会明白了。

基于FPGA的通用计算器的波形仿真怎么在quartusii9.0上仿真

参考技术A 1、打开QuartusⅡ软件,
2、选择File→New Project Wizard 新建一项工程。
3、单击Next进入。
(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,要用英文的比如存在E/eda),之后会出现三个要填的,分别E/eda,COUNT,COUNT;单击Next进入下一个,first name不填,单击Next进入对话框。在该对话框中指定目标器件,(我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。),next一直到finish
4、选择File→New ——VHDL file ,将你的编程复制进去
5、File→save as(新建个文件夹 用英文的)文件名一定要更改为COUNT(保持和实体一致,默认的文件名为VHDL1)
6、在QuartusⅡ主界面下选择Processing→Start Compilation进行全程编译,会显示“successful”
7、在QuartusⅡ主界面下选择File→New命令,打开新建文件对话框,在该对话框中选择Vector Waveform File ;
8、在Nane栏内双击鼠标左键,弹出对话框。
9、点击Node Finder 按钮5、在Filter栏选择Pins:all,点击List按钮,弹出如图所示对话框。
10、点击>>按钮,按2次OK后。
11、设置仿真结束时间。
在QuartusⅡ主界面下选择Edit→End time…,打开图示对话框,将仿真结束时间设置为20us。
12、编辑输入节点波形
1)选中clk,在工具栏中点击Overwrite Clock按钮,打开图示对话框,将CLK周期设置为50ns。
2)将clr设置为“0”(在波形图左边竖着的有个0矩形波)。(可以点击放大/缩小按钮,缩小时按右键)
13、保存仿真波形文件,File→save,按默认的保存就行
14、功能仿真。
1)在QuartusⅡ主界面下选择Processing→Simulate Tool,
2)在Simulation mode 下选择Functional,点击Generate Functional Simulation Netlist按钮。点击Start按钮开始仿真。,仿真后点击Report按钮,打开仿真结果窗口(波形就出来了),在该窗口中可以观察设计结果,功能仿真没有考虑器件的延迟时间。

以上是关于QuartusII和NiosII,FPGA板,不知道它们之间的联系,以及各个工具的具体功能和使用方法?的主要内容,如果未能解决你的问题,请参考以下文章

基于FPGA的通用计算器的波形仿真怎么在quartusii9.0上仿真

nios ii处理器为啥只用于FPGA

小梅哥SOPC学习笔记sof与NIOS II的elf固件合并jic得到文件

FPGA学习之蜂鸣器演奏乐曲

FPGA设计入门

FPGA学习